From 884c915340b86e162df9bdff9f67ae25407cbaab Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Fri, 23 Jun 2017 07:30:06 +0100 Subject: Finishing testbench --- test/testbench.cpp | 7 +++++++ 1 file changed, 7 insertions(+) diff --git a/test/testbench.cpp b/test/testbench.cpp index 9995e5c0..54ce047e 100644 --- a/test/testbench.cpp +++ b/test/testbench.cpp @@ -1,5 +1,6 @@ #include "testbench.hpp" +#include #include void TestBench::startTest(const std::string &test_name) @@ -34,6 +35,12 @@ void TestBench::endTest(bool pass) void TestBench::printResults() { + std::sort(tests_.begin(), tests_.end(), [] (const Test &a, const Test &b) { + if(a.name