create_clock -period 20.00 [get_ports clk]