create_clock -period 2.5 [get_ports clk]