From 49e08edd3c7163514c8f2e4f8f9aa3c71d9f7625 Mon Sep 17 00:00:00 2001 From: David Monniaux Date: Wed, 15 May 2019 16:26:05 +0200 Subject: renaming --- .../lustre-convertible-en-2cgc/convertible_main.c | 3319 ++++++++++++++++++++ .../lustre-convertible-en-2cgc/convertible_main.h | 52 + .../convertible_main_loop.c | 86 + .../lustre-convertible-en-2cgc/lustre_consts.c | 4 + .../lustre-convertible-en-2cgc/lustre_consts.h | 23 + .../lustre-convertible-en-2cgc/lustre_types.h | 83 + 6 files changed, 3567 insertions(+) create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h (limited to 'test/monniaux/lustrev6-convertible-en-2cgc') diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c new file mode 100644 index 00000000..6a4db4c3 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c @@ -0,0 +1,3319 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ +#include "convertible_main.h" + +#define DM_INLINE inline + +//// Defining step functions +// Memory initialisation for Lustre_arrow_2_ctx +DM_INLINE void Lustre_arrow_2_ctx_reset(Lustre_arrow_2_ctx_type* ctx){ + int _i; + ctx->_memory = _true; +} + +// Initialisation of the internal structure of Lustre_arrow_2_ctx +DM_INLINE void Lustre_arrow_2_ctx_init(Lustre_arrow_2_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_arrow_2_ctx_reset(ctx); + } +// Step function(s) for Lustre_arrow_2_ctx +DM_INLINE void Lustre_arrow_2_step(_integer i1,_integer i2,_integer *out,Lustre_arrow_2_ctx_type* ctx){ *out = ((ctx->_memory)? i1 : i2); + ctx->_memory = _false; + +} // End of Lustre_arrow_2_step + +// Memory initialisation for Lustre_arrow_ctx +DM_INLINE void Lustre_arrow_ctx_reset(Lustre_arrow_ctx_type* ctx){ + int _i; + ctx->_memory = _true; +} + +// Initialisation of the internal structure of Lustre_arrow_ctx +DM_INLINE void Lustre_arrow_ctx_init(Lustre_arrow_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_arrow_ctx_reset(ctx); + } +// Step function(s) for Lustre_arrow_ctx +DM_INLINE void Lustre_arrow_step(_real i1,_real i2,_real *out,Lustre_arrow_ctx_type* ctx){ *out = ((ctx->_memory)? i1 : i2); + ctx->_memory = _false; + +} // End of Lustre_arrow_step + +// Memory initialisation for Lustre_arrow_3_ctx +DM_INLINE void Lustre_arrow_3_ctx_reset(Lustre_arrow_3_ctx_type* ctx){ + int _i; + ctx->_memory = _true; +} + +// Initialisation of the internal structure of Lustre_arrow_3_ctx +DM_INLINE void Lustre_arrow_3_ctx_init(Lustre_arrow_3_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_arrow_3_ctx_reset(ctx); + } +// Step function(s) for Lustre_arrow_3_ctx +DM_INLINE void Lustre_arrow_3_step(_real i1[50],_real i2[50],_real out[50]/*out*/,Lustre_arrow_3_ctx_type* ctx){ _assign_rp50(out, ((ctx->_memory)? i1 : i2), sizeof(_real [50])); + ctx->_memory = _false; + +} // End of Lustre_arrow_3_step + +// Step function(s) for Lustre_hat_ctx +DM_INLINE void Lustre_hat_step(_real i1,_real out[50]/*out*/){ + out[0] = i1; + out[1] = i1; + out[2] = i1; + out[3] = i1; + out[4] = i1; + out[5] = i1; + out[6] = i1; + out[7] = i1; + out[8] = i1; + out[9] = i1; + out[10] = i1; + out[11] = i1; + out[12] = i1; + out[13] = i1; + out[14] = i1; + out[15] = i1; + out[16] = i1; + out[17] = i1; + out[18] = i1; + out[19] = i1; + out[20] = i1; + out[21] = i1; + out[22] = i1; + out[23] = i1; + out[24] = i1; + out[25] = i1; + out[26] = i1; + out[27] = i1; + out[28] = i1; + out[29] = i1; + out[30] = i1; + out[31] = i1; + out[32] = i1; + out[33] = i1; + out[34] = i1; + out[35] = i1; + out[36] = i1; + out[37] = i1; + out[38] = i1; + out[39] = i1; + out[40] = i1; + out[41] = i1; + out[42] = i1; + out[43] = i1; + out[44] = i1; + out[45] = i1; + out[46] = i1; + out[47] = i1; + out[48] = i1; + out[49] = i1; + +} // End of Lustre_hat_step + +// Memory initialisation for Lustre_pre_2_ctx +DM_INLINE void Lustre_pre_2_ctx_reset(Lustre_pre_2_ctx_type* ctx){ + int _i; + +} + +// Initialisation of the internal structure of Lustre_pre_2_ctx +DM_INLINE void Lustre_pre_2_ctx_init(Lustre_pre_2_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_pre_2_ctx_reset(ctx); + } +// Step function(s) for Lustre_pre_2_ctx +DM_INLINE void Lustre_pre_2_get(_integer *out,Lustre_pre_2_ctx_type* ctx){ + *out = ctx->_memory; + +} // End of Lustre_pre_2_get + +DM_INLINE void Lustre_pre_2_set(_integer i1,Lustre_pre_2_ctx_type* ctx){ + ctx->_memory = i1; + +} // End of Lustre_pre_2_set + +// Memory initialisation for Lustre_pre_ctx +DM_INLINE void Lustre_pre_ctx_reset(Lustre_pre_ctx_type* ctx){ + int _i; + +} + +// Initialisation of the internal structure of Lustre_pre_ctx +DM_INLINE void Lustre_pre_ctx_init(Lustre_pre_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_pre_ctx_reset(ctx); + } +// Step function(s) for Lustre_pre_ctx +DM_INLINE void Lustre_pre_get(_real *out,Lustre_pre_ctx_type* ctx){ + *out = ctx->_memory; + +} // End of Lustre_pre_get + +DM_INLINE void Lustre_pre_set(_real i1,Lustre_pre_ctx_type* ctx){ + ctx->_memory = i1; + +} // End of Lustre_pre_set + +// Memory initialisation for Lustre_pre_3_ctx +DM_INLINE void Lustre_pre_3_ctx_reset(Lustre_pre_3_ctx_type* ctx){ + int _i; + +} + +// Initialisation of the internal structure of Lustre_pre_3_ctx +DM_INLINE void Lustre_pre_3_ctx_init(Lustre_pre_3_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_pre_3_ctx_reset(ctx); + } +// Step function(s) for Lustre_pre_3_ctx +DM_INLINE void Lustre_pre_3_get(_real out[50]/*out*/,Lustre_pre_3_ctx_type* ctx){ + _assign_rp50(out, ctx->_memory, sizeof(_real [50])); + +} // End of Lustre_pre_3_get + +DM_INLINE void Lustre_pre_3_set(_real i1[50],Lustre_pre_3_ctx_type* ctx){ + _assign_rp50(ctx->_memory, i1, sizeof(_real [50])); + +} // End of Lustre_pre_3_set + +// Step function(s) for Lustre_slash_ctx +DM_INLINE void Lustre_slash_step(_real i1,_real i2,_real *out){ + *out = (i1 / i2); + +} // End of Lustre_slash_step + +// Memory initialisation for convertible_main_ctx +void convertible_main_ctx_reset(convertible_main_ctx_type* ctx){ + int _i; + + Lustre_pre_3_ctx_reset(&ctx->Lustre_pre_3_ctx_tab[0]); + Lustre_pre_3_ctx_reset(&ctx->Lustre_pre_3_ctx_tab[1]); for (_i=0 ; _i<6 ; _i+=1){ + Lustre_pre_ctx_reset(&ctx->Lustre_pre_ctx_tab[_i]); + } for (_i=0 ; _i<5 ; _i+=1){ + Lustre_pre_2_ctx_reset(&ctx->Lustre_pre_2_ctx_tab[_i]); + } + Lustre_arrow_3_ctx_reset(&ctx->Lustre_arrow_3_ctx_tab[0]); + Lustre_arrow_3_ctx_reset(&ctx->Lustre_arrow_3_ctx_tab[1]); for (_i=0 ; _i<6 ; _i+=1){ + Lustre_arrow_ctx_reset(&ctx->Lustre_arrow_ctx_tab[_i]); + } for (_i=0 ; _i<5 ; _i+=1){ + Lustre_arrow_2_ctx_reset(&ctx->Lustre_arrow_2_ctx_tab[_i]); + } +} + +// Initialisation of the internal structure of convertible_main_ctx +void convertible_main_ctx_init(convertible_main_ctx_type* ctx){ + // ctx->client_data = cdata; + convertible_main_ctx_reset(ctx); + } +// Step function(s) for convertible_main_ctx +void convertible_main_step(_boolean Start,_boolean Parked,_boolean Rot,_boolean Tick,_boolean OnOff,_boolean Done,_real Dist,_boolean *Danger,_boolean *Locked,_real *Speed,_real *Roof_Speed,convertible_main_ctx_type* ctx){ _boolean _Tick_on_in_motion_1; + _integer _st_2; + _integer _pst_3; + _integer __split_18_1; + _boolean __split_19_1; + _boolean __split_20_1; + _integer __split_21_1; + _boolean __split_22_1; + _integer __split_23_1; + _real __split_24_1; + _real __split_25_1; + _real ____presqrt_5_4_1_1; + _boolean ______split_1_5_1_4_1_1; + _real ______split_2_5_1_4_1_1; + _boolean _____ecart_4_1_4_1_1; + _real _____sqrt_4_1_4_1_1; + _real ______split_110_1_1_4_1_1; + _real ______split_111_1_1_4_1_1; + _real ______split_112_1_1_4_1_1; + _real ______split_113_1_1_4_1_1; + _real ______split_114_1_1_4_1_1; + _real ______split_115_1_1_4_1_1; + _real ______split_116_1_1_4_1_1; + _real ______split_117_1_1_4_1_1; + _boolean ________split_1_3_1_1_1_4_1_1; + _real ________split_2_3_1_1_1_4_1_1; + _boolean _______ecart_2_1_1_1_4_1_1; + _real _______sqrt_2_1_1_1_4_1_1; + _real ________split_94_1_1_1_1_4_1_1; + _real ________split_95_1_1_1_1_4_1_1; + _real ________split_96_1_1_1_1_4_1_1; + _real ________split_97_1_1_1_1_4_1_1; + _real ________split_98_1_1_1_1_4_1_1; + _real ________split_99_1_1_1_1_4_1_1; + _real ________split_101_1_1_1_1_4_1_1; + _boolean __________split_1_1_1_1_1_1_1_4_1_1; + _real __________split_2_1_1_1_1_1_1_4_1_1; + _real _________split_93_1_1_1_1_1_4_1_1; + _real _________split_92_1_1_1_1_1_4_1_1; + _real _________split_91_1_1_1_1_1_4_1_1; + _real _________split_90_1_1_1_1_1_4_1_1; + _real ________sqrt_1_1_1_1_1_4_1_1; + _boolean ________ecart_1_1_1_1_1_4_1_1; + _real _________split_2_2_1_1_1_1_4_1_1; + _boolean _________split_1_2_1_1_1_1_4_1_1; + _real _______split_109_1_1_1_4_1_1; + _real _______split_108_1_1_1_4_1_1; + _real _______split_107_1_1_1_4_1_1; + _real _______split_106_1_1_1_4_1_1; + _real _______split_105_1_1_1_4_1_1; + _real _______split_104_1_1_1_4_1_1; + _real _______split_103_1_1_1_4_1_1; + _real _______split_102_1_1_1_4_1_1; + _real ______sqrt_3_1_1_4_1_1; + _boolean ______ecart_3_1_1_4_1_1; + _real _______split_2_4_1_1_4_1_1; + _boolean _______split_1_4_1_1_4_1_1; + _real _____split_125_1_4_1_1; + _real _____split_124_1_4_1_1; + _real _____split_123_1_4_1_1; + _real _____split_122_1_4_1_1; + _real _____split_121_1_4_1_1; + _real _____split_120_1_4_1_1; + _real _____split_119_1_4_1_1; + _real _____split_118_1_4_1_1; + _real ____sqrt_5_4_1_1; + _boolean ____ecart_5_4_1_1; + _real ____presqrt_5_3_1_1; + _boolean ______split_1_5_1_3_1_1; + _real ______split_2_5_1_3_1_1; + _boolean _____ecart_4_1_3_1_1; + _real _____sqrt_4_1_3_1_1; + _real ______split_110_1_1_3_1_1; + _real ______split_111_1_1_3_1_1; + _real ______split_112_1_1_3_1_1; + _real ______split_113_1_1_3_1_1; + _real ______split_114_1_1_3_1_1; + _real ______split_115_1_1_3_1_1; + _real ______split_116_1_1_3_1_1; + _real ______split_117_1_1_3_1_1; + _boolean ________split_1_3_1_1_1_3_1_1; + _real ________split_2_3_1_1_1_3_1_1; + _boolean _______ecart_2_1_1_1_3_1_1; + _real _______sqrt_2_1_1_1_3_1_1; + _real ________split_94_1_1_1_1_3_1_1; + _real ________split_95_1_1_1_1_3_1_1; + _real ________split_96_1_1_1_1_3_1_1; + _real ________split_97_1_1_1_1_3_1_1; + _real ________split_98_1_1_1_1_3_1_1; + _real ________split_99_1_1_1_1_3_1_1; + _real ________split_101_1_1_1_1_3_1_1; + _boolean __________split_1_1_1_1_1_1_1_3_1_1; + _real __________split_2_1_1_1_1_1_1_3_1_1; + _real _________split_93_1_1_1_1_1_3_1_1; + _real _________split_92_1_1_1_1_1_3_1_1; + _real _________split_91_1_1_1_1_1_3_1_1; + _real _________split_90_1_1_1_1_1_3_1_1; + _real ________sqrt_1_1_1_1_1_3_1_1; + _boolean ________ecart_1_1_1_1_1_3_1_1; + _real _________split_2_2_1_1_1_1_3_1_1; + _boolean _________split_1_2_1_1_1_1_3_1_1; + _real _______split_109_1_1_1_3_1_1; + _real _______split_108_1_1_1_3_1_1; + _real _______split_107_1_1_1_3_1_1; + _real _______split_106_1_1_1_3_1_1; + _real _______split_105_1_1_1_3_1_1; + _real _______split_104_1_1_1_3_1_1; + _real _______split_103_1_1_1_3_1_1; + _real _______split_102_1_1_1_3_1_1; + _real ______sqrt_3_1_1_3_1_1; + _boolean ______ecart_3_1_1_3_1_1; + _real _______split_2_4_1_1_3_1_1; + _boolean _______split_1_4_1_1_3_1_1; + _real _____split_125_1_3_1_1; + _real _____split_124_1_3_1_1; + _real _____split_123_1_3_1_1; + _real _____split_122_1_3_1_1; + _real _____split_121_1_3_1_1; + _real _____split_120_1_3_1_1; + _real _____split_119_1_3_1_1; + _real _____split_118_1_3_1_1; + _real ____sqrt_5_3_1_1; + _boolean ____ecart_5_3_1_1; + _real ___split_48_1_1; + _real ___split_47_1_1; + _real ___split_46_1_1; + _real ___split_45_1_1; + _real ___split_44_1_1; + _real ___split_43_1_1; + _real ___split_42_1_1; + _real ___split_41_1_1; + _real ___split_40_1_1; + _real ___split_39_1_1; + _real ___split_38_1_1; + _real ___split_37_1_1; + _real ___split_36_1_1; + _real ___split_35_1_1; + _real ___split_34_1_1; + _real ___split_33_1_1; + _integer ___split_32_1_1; + _boolean ___split_31_1_1; + _real ___split_30_1_1; + _integer ___split_29_1_1; + _boolean ___split_28_1_1; + _real ___split_27_1_1; + _integer ___split_26_1_1; + _integer __pst_2_1; + _integer __st_1_1; + _real __kh_1_1; + _real __Roof_Percent_1_1; + _real __pRoof_Percent_1_1; + _real __slow_it_down_1_1; + _real __pRoof_Speed_1_1; + _boolean _TickOrRot_1; + _real _tx_1; + _real _dx_1; + _real _pt_1; + _real _pd_1; + _real _t_3; + _real _d_1; + _real __split_78_1; + _real __split_79_1; + _real __split_80_1; + _real __split_81_1; + _real __split_82_1; + _real __split_83_1; + _real __split_84_1; + _real __split_85_1; + _real __split_86_1; + _real __split_87_1; + _real __split_88_1; + _real __split_89_1; + _real __x_13_1; + _boolean ___split_10_2_1; + convertible_update_acc ___dummy_1_1_1; + convertible_update_acc ____split_3_1_1_1; + _boolean ______split_136_50_1_1_1_1; + _integer ______split_137_50_1_1_1_1; + _real _____cell_50_1_1_1_1; + _real _____ncell_50_1_1_1_1; + _boolean ______split_136_49_1_1_1_1; + _integer ______split_137_49_1_1_1_1; + _real _____cell_49_1_1_1_1; + _real _____ncell_49_1_1_1_1; + _boolean ______split_136_48_1_1_1_1; + _integer ______split_137_48_1_1_1_1; + _real _____cell_48_1_1_1_1; + _real _____ncell_48_1_1_1_1; + _boolean ______split_136_47_1_1_1_1; + _integer ______split_137_47_1_1_1_1; + _real _____cell_47_1_1_1_1; + _real _____ncell_47_1_1_1_1; + _boolean ______split_136_46_1_1_1_1; + _integer ______split_137_46_1_1_1_1; + _real _____cell_46_1_1_1_1; + _real _____ncell_46_1_1_1_1; + _boolean ______split_136_45_1_1_1_1; + _integer ______split_137_45_1_1_1_1; + _real _____cell_45_1_1_1_1; + _real _____ncell_45_1_1_1_1; + _boolean ______split_136_44_1_1_1_1; + _integer ______split_137_44_1_1_1_1; + _real _____cell_44_1_1_1_1; + _real _____ncell_44_1_1_1_1; + _boolean ______split_136_43_1_1_1_1; + _integer ______split_137_43_1_1_1_1; + _real _____cell_43_1_1_1_1; + _real _____ncell_43_1_1_1_1; + _boolean ______split_136_42_1_1_1_1; + _integer ______split_137_42_1_1_1_1; + _real _____cell_42_1_1_1_1; + _real _____ncell_42_1_1_1_1; + _boolean ______split_136_41_1_1_1_1; + _integer ______split_137_41_1_1_1_1; + _real _____cell_41_1_1_1_1; + _real _____ncell_41_1_1_1_1; + _boolean ______split_136_40_1_1_1_1; + _integer ______split_137_40_1_1_1_1; + _real _____cell_40_1_1_1_1; + _real _____ncell_40_1_1_1_1; + _boolean ______split_136_39_1_1_1_1; + _integer ______split_137_39_1_1_1_1; + _real _____cell_39_1_1_1_1; + _real _____ncell_39_1_1_1_1; + _boolean ______split_136_38_1_1_1_1; + _integer ______split_137_38_1_1_1_1; + _real _____cell_38_1_1_1_1; + _real _____ncell_38_1_1_1_1; + _boolean ______split_136_37_1_1_1_1; + _integer ______split_137_37_1_1_1_1; + _real _____cell_37_1_1_1_1; + _real _____ncell_37_1_1_1_1; + _boolean ______split_136_36_1_1_1_1; + _integer ______split_137_36_1_1_1_1; + _real _____cell_36_1_1_1_1; + _real _____ncell_36_1_1_1_1; + _boolean ______split_136_35_1_1_1_1; + _integer ______split_137_35_1_1_1_1; + _real _____cell_35_1_1_1_1; + _real _____ncell_35_1_1_1_1; + _boolean ______split_136_34_1_1_1_1; + _integer ______split_137_34_1_1_1_1; + _real _____cell_34_1_1_1_1; + _real _____ncell_34_1_1_1_1; + _boolean ______split_136_33_1_1_1_1; + _integer ______split_137_33_1_1_1_1; + _real _____cell_33_1_1_1_1; + _real _____ncell_33_1_1_1_1; + _boolean ______split_136_32_1_1_1_1; + _integer ______split_137_32_1_1_1_1; + _real _____cell_32_1_1_1_1; + _real _____ncell_32_1_1_1_1; + _boolean ______split_136_31_1_1_1_1; + _integer ______split_137_31_1_1_1_1; + _real _____cell_31_1_1_1_1; + _real _____ncell_31_1_1_1_1; + _boolean ______split_136_30_1_1_1_1; + _integer ______split_137_30_1_1_1_1; + _real _____cell_30_1_1_1_1; + _real _____ncell_30_1_1_1_1; + _boolean ______split_136_29_1_1_1_1; + _integer ______split_137_29_1_1_1_1; + _real _____cell_29_1_1_1_1; + _real _____ncell_29_1_1_1_1; + _boolean ______split_136_28_1_1_1_1; + _integer ______split_137_28_1_1_1_1; + _real _____cell_28_1_1_1_1; + _real _____ncell_28_1_1_1_1; + _boolean ______split_136_27_1_1_1_1; + _integer ______split_137_27_1_1_1_1; + _real _____cell_27_1_1_1_1; + _real _____ncell_27_1_1_1_1; + _boolean ______split_136_26_1_1_1_1; + _integer ______split_137_26_1_1_1_1; + _real _____cell_26_1_1_1_1; + _real _____ncell_26_1_1_1_1; + _boolean ______split_136_25_1_1_1_1; + _integer ______split_137_25_1_1_1_1; + _real _____cell_25_1_1_1_1; + _real _____ncell_25_1_1_1_1; + _boolean ______split_136_24_1_1_1_1; + _integer ______split_137_24_1_1_1_1; + _real _____cell_24_1_1_1_1; + _real _____ncell_24_1_1_1_1; + _boolean ______split_136_23_1_1_1_1; + _integer ______split_137_23_1_1_1_1; + _real _____cell_23_1_1_1_1; + _real _____ncell_23_1_1_1_1; + _boolean ______split_136_22_1_1_1_1; + _integer ______split_137_22_1_1_1_1; + _real _____cell_22_1_1_1_1; + _real _____ncell_22_1_1_1_1; + _boolean ______split_136_21_1_1_1_1; + _integer ______split_137_21_1_1_1_1; + _real _____cell_21_1_1_1_1; + _real _____ncell_21_1_1_1_1; + _boolean ______split_136_20_1_1_1_1; + _integer ______split_137_20_1_1_1_1; + _real _____cell_20_1_1_1_1; + _real _____ncell_20_1_1_1_1; + _boolean ______split_136_19_1_1_1_1; + _integer ______split_137_19_1_1_1_1; + _real _____cell_19_1_1_1_1; + _real _____ncell_19_1_1_1_1; + _boolean ______split_136_18_1_1_1_1; + _integer ______split_137_18_1_1_1_1; + _real _____cell_18_1_1_1_1; + _real _____ncell_18_1_1_1_1; + _boolean ______split_136_17_1_1_1_1; + _integer ______split_137_17_1_1_1_1; + _real _____cell_17_1_1_1_1; + _real _____ncell_17_1_1_1_1; + _boolean ______split_136_16_1_1_1_1; + _integer ______split_137_16_1_1_1_1; + _real _____cell_16_1_1_1_1; + _real _____ncell_16_1_1_1_1; + _boolean ______split_136_15_1_1_1_1; + _integer ______split_137_15_1_1_1_1; + _real _____cell_15_1_1_1_1; + _real _____ncell_15_1_1_1_1; + _boolean ______split_136_14_1_1_1_1; + _integer ______split_137_14_1_1_1_1; + _real _____cell_14_1_1_1_1; + _real _____ncell_14_1_1_1_1; + _boolean ______split_136_13_1_1_1_1; + _integer ______split_137_13_1_1_1_1; + _real _____cell_13_1_1_1_1; + _real _____ncell_13_1_1_1_1; + _boolean ______split_136_12_1_1_1_1; + _integer ______split_137_12_1_1_1_1; + _real _____cell_12_1_1_1_1; + _real _____ncell_12_1_1_1_1; + _boolean ______split_136_11_1_1_1_1; + _integer ______split_137_11_1_1_1_1; + _real _____cell_11_1_1_1_1; + _real _____ncell_11_1_1_1_1; + _boolean ______split_136_10_1_1_1_1; + _integer ______split_137_10_1_1_1_1; + _real _____cell_10_1_1_1_1; + _real _____ncell_10_1_1_1_1; + _boolean ______split_136_9_1_1_1_1; + _integer ______split_137_9_1_1_1_1; + _real _____cell_9_1_1_1_1; + _real _____ncell_9_1_1_1_1; + _boolean ______split_136_8_1_1_1_1; + _integer ______split_137_8_1_1_1_1; + _real _____cell_8_1_1_1_1; + _real _____ncell_8_1_1_1_1; + _boolean ______split_136_7_1_1_1_1; + _integer ______split_137_7_1_1_1_1; + _real _____cell_7_1_1_1_1; + _real _____ncell_7_1_1_1_1; + _boolean ______split_136_6_1_1_1_1; + _integer ______split_137_6_1_1_1_1; + _real _____cell_6_1_1_1_1; + _real _____ncell_6_1_1_1_1; + _boolean ______split_136_5_1_1_1_1; + _integer ______split_137_5_1_1_1_1; + _real _____cell_5_1_1_1_1; + _real _____ncell_5_1_1_1_1; + _boolean ______split_136_4_1_1_1_1; + _integer ______split_137_4_1_1_1_1; + _real _____cell_4_1_1_1_1; + _real _____ncell_4_1_1_1_1; + _boolean ______split_136_3_1_1_1_1; + _integer ______split_137_3_1_1_1_1; + _real _____cell_3_1_1_1_1; + _real _____ncell_3_1_1_1_1; + _boolean ______split_136_2_1_1_1_1; + _integer ______split_137_2_1_1_1_1; + _real _____cell_2_1_1_1_1; + _real _____ncell_2_1_1_1_1; + _boolean ______split_136_1_1_1_1_1; + _integer ______split_137_1_1_1_1_1; + _real _____cell_1_1_1_1_1; + _real _____ncell_1_1_1_1_1; + convertible_update_acc _____acc_1_1_1_1_1; + convertible_update_acc _____acc_2_1_1_1_1; + convertible_update_acc _____acc_3_1_1_1_1; + convertible_update_acc _____acc_4_1_1_1_1; + convertible_update_acc _____acc_5_1_1_1_1; + convertible_update_acc _____acc_6_1_1_1_1; + convertible_update_acc _____acc_7_1_1_1_1; + convertible_update_acc _____acc_8_1_1_1_1; + convertible_update_acc _____acc_9_1_1_1_1; + convertible_update_acc _____acc_10_1_1_1_1; + convertible_update_acc _____acc_11_1_1_1_1; + convertible_update_acc _____acc_12_1_1_1_1; + convertible_update_acc _____acc_13_1_1_1_1; + convertible_update_acc _____acc_14_1_1_1_1; + convertible_update_acc _____acc_15_1_1_1_1; + convertible_update_acc _____acc_16_1_1_1_1; + convertible_update_acc _____acc_17_1_1_1_1; + convertible_update_acc _____acc_18_1_1_1_1; + convertible_update_acc _____acc_19_1_1_1_1; + convertible_update_acc _____acc_20_1_1_1_1; + convertible_update_acc _____acc_21_1_1_1_1; + convertible_update_acc _____acc_22_1_1_1_1; + convertible_update_acc _____acc_23_1_1_1_1; + convertible_update_acc _____acc_24_1_1_1_1; + convertible_update_acc _____acc_25_1_1_1_1; + convertible_update_acc _____acc_26_1_1_1_1; + convertible_update_acc _____acc_27_1_1_1_1; + convertible_update_acc _____acc_28_1_1_1_1; + convertible_update_acc _____acc_29_1_1_1_1; + convertible_update_acc _____acc_30_1_1_1_1; + convertible_update_acc _____acc_31_1_1_1_1; + convertible_update_acc _____acc_32_1_1_1_1; + convertible_update_acc _____acc_33_1_1_1_1; + convertible_update_acc _____acc_34_1_1_1_1; + convertible_update_acc _____acc_35_1_1_1_1; + convertible_update_acc _____acc_36_1_1_1_1; + convertible_update_acc _____acc_37_1_1_1_1; + convertible_update_acc _____acc_38_1_1_1_1; + convertible_update_acc _____acc_39_1_1_1_1; + convertible_update_acc _____acc_40_1_1_1_1; + convertible_update_acc _____acc_41_1_1_1_1; + convertible_update_acc _____acc_42_1_1_1_1; + convertible_update_acc _____acc_43_1_1_1_1; + convertible_update_acc _____acc_44_1_1_1_1; + convertible_update_acc _____acc_45_1_1_1_1; + convertible_update_acc _____acc_46_1_1_1_1; + convertible_update_acc _____acc_47_1_1_1_1; + convertible_update_acc _____acc_48_1_1_1_1; + convertible_update_acc _____acc_49_1_1_1_1; + _real ____acc_98_1_1_1; + _real ____acc_97_1_1_1; + _real ____acc_96_1_1_1; + _real ____acc_95_1_1_1; + _real ____acc_94_1_1_1; + _real ____acc_93_1_1_1; + _real ____acc_92_1_1_1; + _real ____acc_91_1_1_1; + _real ____acc_90_1_1_1; + _real ____acc_89_1_1_1; + _real ____acc_88_1_1_1; + _real ____acc_87_1_1_1; + _real ____acc_86_1_1_1; + _real ____acc_85_1_1_1; + _real ____acc_84_1_1_1; + _real ____acc_83_1_1_1; + _real ____acc_82_1_1_1; + _real ____acc_81_1_1_1; + _real ____acc_80_1_1_1; + _real ____acc_79_1_1_1; + _real ____acc_78_1_1_1; + _real ____acc_77_1_1_1; + _real ____acc_76_1_1_1; + _real ____acc_75_1_1_1; + _real ____acc_74_1_1_1; + _real ____acc_73_1_1_1; + _real ____acc_72_1_1_1; + _real ____acc_71_1_1_1; + _real ____acc_70_1_1_1; + _real ____acc_69_1_1_1; + _real ____acc_68_1_1_1; + _real ____acc_67_1_1_1; + _real ____acc_66_1_1_1; + _real ____acc_65_1_1_1; + _real ____acc_64_1_1_1; + _real ____acc_63_1_1_1; + _real ____acc_62_1_1_1; + _real ____acc_61_1_1_1; + _real ____acc_60_1_1_1; + _real ____acc_59_1_1_1; + _real ____acc_58_1_1_1; + _real ____acc_57_1_1_1; + _real ____acc_56_1_1_1; + _real ____acc_55_1_1_1; + _real ____acc_54_1_1_1; + _real ____acc_53_1_1_1; + _real ____acc_52_1_1_1; + _real ____acc_51_1_1_1; + _real ____acc_50_1_1_1; + _real ___i1_1_1_1; + _integer ___split_135_1_1; + _real ___split_134_1_1[50]; + _real ___split_133_1_1[50]; + _integer ___split_132_1_1; + _integer ___split_131_1_1; + _real __a_2_1[50]; + _real __pre_a_1_1[50]; + _integer __i_1_1; + convertible_update_acc ___dummy_2_1_1; + convertible_update_acc ____split_3_2_1_1; + _boolean ______split_136_50_1_2_1_1; + _integer ______split_137_50_1_2_1_1; + _real _____cell_50_1_2_1_1; + _real _____ncell_50_1_2_1_1; + _boolean ______split_136_49_1_2_1_1; + _integer ______split_137_49_1_2_1_1; + _real _____cell_49_1_2_1_1; + _real _____ncell_49_1_2_1_1; + _boolean ______split_136_48_1_2_1_1; + _integer ______split_137_48_1_2_1_1; + _real _____cell_48_1_2_1_1; + _real _____ncell_48_1_2_1_1; + _boolean ______split_136_47_1_2_1_1; + _integer ______split_137_47_1_2_1_1; + _real _____cell_47_1_2_1_1; + _real _____ncell_47_1_2_1_1; + _boolean ______split_136_46_1_2_1_1; + _integer ______split_137_46_1_2_1_1; + _real _____cell_46_1_2_1_1; + _real _____ncell_46_1_2_1_1; + _boolean ______split_136_45_1_2_1_1; + _integer ______split_137_45_1_2_1_1; + _real _____cell_45_1_2_1_1; + _real _____ncell_45_1_2_1_1; + _boolean ______split_136_44_1_2_1_1; + _integer ______split_137_44_1_2_1_1; + _real _____cell_44_1_2_1_1; + _real _____ncell_44_1_2_1_1; + _boolean ______split_136_43_1_2_1_1; + _integer ______split_137_43_1_2_1_1; + _real _____cell_43_1_2_1_1; + _real _____ncell_43_1_2_1_1; + _boolean ______split_136_42_1_2_1_1; + _integer ______split_137_42_1_2_1_1; + _real _____cell_42_1_2_1_1; + _real _____ncell_42_1_2_1_1; + _boolean ______split_136_41_1_2_1_1; + _integer ______split_137_41_1_2_1_1; + _real _____cell_41_1_2_1_1; + _real _____ncell_41_1_2_1_1; + _boolean ______split_136_40_1_2_1_1; + _integer ______split_137_40_1_2_1_1; + _real _____cell_40_1_2_1_1; + _real _____ncell_40_1_2_1_1; + _boolean ______split_136_39_1_2_1_1; + _integer ______split_137_39_1_2_1_1; + _real _____cell_39_1_2_1_1; + _real _____ncell_39_1_2_1_1; + _boolean ______split_136_38_1_2_1_1; + _integer ______split_137_38_1_2_1_1; + _real _____cell_38_1_2_1_1; + _real _____ncell_38_1_2_1_1; + _boolean ______split_136_37_1_2_1_1; + _integer ______split_137_37_1_2_1_1; + _real _____cell_37_1_2_1_1; + _real _____ncell_37_1_2_1_1; + _boolean ______split_136_36_1_2_1_1; + _integer ______split_137_36_1_2_1_1; + _real _____cell_36_1_2_1_1; + _real _____ncell_36_1_2_1_1; + _boolean ______split_136_35_1_2_1_1; + _integer ______split_137_35_1_2_1_1; + _real _____cell_35_1_2_1_1; + _real _____ncell_35_1_2_1_1; + _boolean ______split_136_34_1_2_1_1; + _integer ______split_137_34_1_2_1_1; + _real _____cell_34_1_2_1_1; + _real _____ncell_34_1_2_1_1; + _boolean ______split_136_33_1_2_1_1; + _integer ______split_137_33_1_2_1_1; + _real _____cell_33_1_2_1_1; + _real _____ncell_33_1_2_1_1; + _boolean ______split_136_32_1_2_1_1; + _integer ______split_137_32_1_2_1_1; + _real _____cell_32_1_2_1_1; + _real _____ncell_32_1_2_1_1; + _boolean ______split_136_31_1_2_1_1; + _integer ______split_137_31_1_2_1_1; + _real _____cell_31_1_2_1_1; + _real _____ncell_31_1_2_1_1; + _boolean ______split_136_30_1_2_1_1; + _integer ______split_137_30_1_2_1_1; + _real _____cell_30_1_2_1_1; + _real _____ncell_30_1_2_1_1; + _boolean ______split_136_29_1_2_1_1; + _integer ______split_137_29_1_2_1_1; + _real _____cell_29_1_2_1_1; + _real _____ncell_29_1_2_1_1; + _boolean ______split_136_28_1_2_1_1; + _integer ______split_137_28_1_2_1_1; + _real _____cell_28_1_2_1_1; + _real _____ncell_28_1_2_1_1; + _boolean ______split_136_27_1_2_1_1; + _integer ______split_137_27_1_2_1_1; + _real _____cell_27_1_2_1_1; + _real _____ncell_27_1_2_1_1; + _boolean ______split_136_26_1_2_1_1; + _integer ______split_137_26_1_2_1_1; + _real _____cell_26_1_2_1_1; + _real _____ncell_26_1_2_1_1; + _boolean ______split_136_25_1_2_1_1; + _integer ______split_137_25_1_2_1_1; + _real _____cell_25_1_2_1_1; + _real _____ncell_25_1_2_1_1; + _boolean ______split_136_24_1_2_1_1; + _integer ______split_137_24_1_2_1_1; + _real _____cell_24_1_2_1_1; + _real _____ncell_24_1_2_1_1; + _boolean ______split_136_23_1_2_1_1; + _integer ______split_137_23_1_2_1_1; + _real _____cell_23_1_2_1_1; + _real _____ncell_23_1_2_1_1; + _boolean ______split_136_22_1_2_1_1; + _integer ______split_137_22_1_2_1_1; + _real _____cell_22_1_2_1_1; + _real _____ncell_22_1_2_1_1; + _boolean ______split_136_21_1_2_1_1; + _integer ______split_137_21_1_2_1_1; + _real _____cell_21_1_2_1_1; + _real _____ncell_21_1_2_1_1; + _boolean ______split_136_20_1_2_1_1; + _integer ______split_137_20_1_2_1_1; + _real _____cell_20_1_2_1_1; + _real _____ncell_20_1_2_1_1; + _boolean ______split_136_19_1_2_1_1; + _integer ______split_137_19_1_2_1_1; + _real _____cell_19_1_2_1_1; + _real _____ncell_19_1_2_1_1; + _boolean ______split_136_18_1_2_1_1; + _integer ______split_137_18_1_2_1_1; + _real _____cell_18_1_2_1_1; + _real _____ncell_18_1_2_1_1; + _boolean ______split_136_17_1_2_1_1; + _integer ______split_137_17_1_2_1_1; + _real _____cell_17_1_2_1_1; + _real _____ncell_17_1_2_1_1; + _boolean ______split_136_16_1_2_1_1; + _integer ______split_137_16_1_2_1_1; + _real _____cell_16_1_2_1_1; + _real _____ncell_16_1_2_1_1; + _boolean ______split_136_15_1_2_1_1; + _integer ______split_137_15_1_2_1_1; + _real _____cell_15_1_2_1_1; + _real _____ncell_15_1_2_1_1; + _boolean ______split_136_14_1_2_1_1; + _integer ______split_137_14_1_2_1_1; + _real _____cell_14_1_2_1_1; + _real _____ncell_14_1_2_1_1; + _boolean ______split_136_13_1_2_1_1; + _integer ______split_137_13_1_2_1_1; + _real _____cell_13_1_2_1_1; + _real _____ncell_13_1_2_1_1; + _boolean ______split_136_12_1_2_1_1; + _integer ______split_137_12_1_2_1_1; + _real _____cell_12_1_2_1_1; + _real _____ncell_12_1_2_1_1; + _boolean ______split_136_11_1_2_1_1; + _integer ______split_137_11_1_2_1_1; + _real _____cell_11_1_2_1_1; + _real _____ncell_11_1_2_1_1; + _boolean ______split_136_10_1_2_1_1; + _integer ______split_137_10_1_2_1_1; + _real _____cell_10_1_2_1_1; + _real _____ncell_10_1_2_1_1; + _boolean ______split_136_9_1_2_1_1; + _integer ______split_137_9_1_2_1_1; + _real _____cell_9_1_2_1_1; + _real _____ncell_9_1_2_1_1; + _boolean ______split_136_8_1_2_1_1; + _integer ______split_137_8_1_2_1_1; + _real _____cell_8_1_2_1_1; + _real _____ncell_8_1_2_1_1; + _boolean ______split_136_7_1_2_1_1; + _integer ______split_137_7_1_2_1_1; + _real _____cell_7_1_2_1_1; + _real _____ncell_7_1_2_1_1; + _boolean ______split_136_6_1_2_1_1; + _integer ______split_137_6_1_2_1_1; + _real _____cell_6_1_2_1_1; + _real _____ncell_6_1_2_1_1; + _boolean ______split_136_5_1_2_1_1; + _integer ______split_137_5_1_2_1_1; + _real _____cell_5_1_2_1_1; + _real _____ncell_5_1_2_1_1; + _boolean ______split_136_4_1_2_1_1; + _integer ______split_137_4_1_2_1_1; + _real _____cell_4_1_2_1_1; + _real _____ncell_4_1_2_1_1; + _boolean ______split_136_3_1_2_1_1; + _integer ______split_137_3_1_2_1_1; + _real _____cell_3_1_2_1_1; + _real _____ncell_3_1_2_1_1; + _boolean ______split_136_2_1_2_1_1; + _integer ______split_137_2_1_2_1_1; + _real _____cell_2_1_2_1_1; + _real _____ncell_2_1_2_1_1; + _boolean ______split_136_1_1_2_1_1; + _integer ______split_137_1_1_2_1_1; + _real _____cell_1_1_2_1_1; + _real _____ncell_1_1_2_1_1; + convertible_update_acc _____acc_1_1_2_1_1; + convertible_update_acc _____acc_2_1_2_1_1; + convertible_update_acc _____acc_3_1_2_1_1; + convertible_update_acc _____acc_4_1_2_1_1; + convertible_update_acc _____acc_5_1_2_1_1; + convertible_update_acc _____acc_6_1_2_1_1; + convertible_update_acc _____acc_7_1_2_1_1; + convertible_update_acc _____acc_8_1_2_1_1; + convertible_update_acc _____acc_9_1_2_1_1; + convertible_update_acc _____acc_10_1_2_1_1; + convertible_update_acc _____acc_11_1_2_1_1; + convertible_update_acc _____acc_12_1_2_1_1; + convertible_update_acc _____acc_13_1_2_1_1; + convertible_update_acc _____acc_14_1_2_1_1; + convertible_update_acc _____acc_15_1_2_1_1; + convertible_update_acc _____acc_16_1_2_1_1; + convertible_update_acc _____acc_17_1_2_1_1; + convertible_update_acc _____acc_18_1_2_1_1; + convertible_update_acc _____acc_19_1_2_1_1; + convertible_update_acc _____acc_20_1_2_1_1; + convertible_update_acc _____acc_21_1_2_1_1; + convertible_update_acc _____acc_22_1_2_1_1; + convertible_update_acc _____acc_23_1_2_1_1; + convertible_update_acc _____acc_24_1_2_1_1; + convertible_update_acc _____acc_25_1_2_1_1; + convertible_update_acc _____acc_26_1_2_1_1; + convertible_update_acc _____acc_27_1_2_1_1; + convertible_update_acc _____acc_28_1_2_1_1; + convertible_update_acc _____acc_29_1_2_1_1; + convertible_update_acc _____acc_30_1_2_1_1; + convertible_update_acc _____acc_31_1_2_1_1; + convertible_update_acc _____acc_32_1_2_1_1; + convertible_update_acc _____acc_33_1_2_1_1; + convertible_update_acc _____acc_34_1_2_1_1; + convertible_update_acc _____acc_35_1_2_1_1; + convertible_update_acc _____acc_36_1_2_1_1; + convertible_update_acc _____acc_37_1_2_1_1; + convertible_update_acc _____acc_38_1_2_1_1; + convertible_update_acc _____acc_39_1_2_1_1; + convertible_update_acc _____acc_40_1_2_1_1; + convertible_update_acc _____acc_41_1_2_1_1; + convertible_update_acc _____acc_42_1_2_1_1; + convertible_update_acc _____acc_43_1_2_1_1; + convertible_update_acc _____acc_44_1_2_1_1; + convertible_update_acc _____acc_45_1_2_1_1; + convertible_update_acc _____acc_46_1_2_1_1; + convertible_update_acc _____acc_47_1_2_1_1; + convertible_update_acc _____acc_48_1_2_1_1; + convertible_update_acc _____acc_49_1_2_1_1; + _real ____acc_98_2_1_1; + _real ____acc_97_2_1_1; + _real ____acc_96_2_1_1; + _real ____acc_95_2_1_1; + _real ____acc_94_2_1_1; + _real ____acc_93_2_1_1; + _real ____acc_92_2_1_1; + _real ____acc_91_2_1_1; + _real ____acc_90_2_1_1; + _real ____acc_89_2_1_1; + _real ____acc_88_2_1_1; + _real ____acc_87_2_1_1; + _real ____acc_86_2_1_1; + _real ____acc_85_2_1_1; + _real ____acc_84_2_1_1; + _real ____acc_83_2_1_1; + _real ____acc_82_2_1_1; + _real ____acc_81_2_1_1; + _real ____acc_80_2_1_1; + _real ____acc_79_2_1_1; + _real ____acc_78_2_1_1; + _real ____acc_77_2_1_1; + _real ____acc_76_2_1_1; + _real ____acc_75_2_1_1; + _real ____acc_74_2_1_1; + _real ____acc_73_2_1_1; + _real ____acc_72_2_1_1; + _real ____acc_71_2_1_1; + _real ____acc_70_2_1_1; + _real ____acc_69_2_1_1; + _real ____acc_68_2_1_1; + _real ____acc_67_2_1_1; + _real ____acc_66_2_1_1; + _real ____acc_65_2_1_1; + _real ____acc_64_2_1_1; + _real ____acc_63_2_1_1; + _real ____acc_62_2_1_1; + _real ____acc_61_2_1_1; + _real ____acc_60_2_1_1; + _real ____acc_59_2_1_1; + _real ____acc_58_2_1_1; + _real ____acc_57_2_1_1; + _real ____acc_56_2_1_1; + _real ____acc_55_2_1_1; + _real ____acc_54_2_1_1; + _real ____acc_53_2_1_1; + _real ____acc_52_2_1_1; + _real ____acc_51_2_1_1; + _real ____acc_50_2_1_1; + _real ___i1_2_1_1; + _integer ___split_130_1_1; + _real ___split_129_1_1[50]; + _real ___split_128_1_1[50]; + _integer ___split_127_1_1; + _integer ___split_126_1_1; + _real __a_3_1[50]; + _real __pre_a_2_1[50]; + _integer __i_2_1; + _boolean _ac_cond_1; + _integer _pst_1; + _integer __split_138_1; + _boolean __split_139_1; + _boolean __split_140_1; + _integer __split_141_1; + _boolean __split_142_1; + _boolean __split_143_1; + _boolean __split_144_1; + _integer __split_145_1; + _integer __split_146_1; + _boolean __split_147_1; + _boolean __split_148_1; + _integer __split_149_1; + _real _tBrake_1; + _real _tChoc_1; + _real _Accel_1; + _real __split_11_1; + _real __split_12_1; + _real __split_13_1; + _real __split_14_1; + _real __split_15_1; + _real __split_16_1; + _real __split_17_1; + _boolean ____split_10_1_1_1; + _real ____presqrt_5_2_1_1; + _boolean ______split_1_5_1_2_1_1; + _real ______split_2_5_1_2_1_1; + _boolean _____ecart_4_1_2_1_1; + _real _____sqrt_4_1_2_1_1; + _real ______split_110_1_1_2_1_1; + _real ______split_111_1_1_2_1_1; + _real ______split_112_1_1_2_1_1; + _real ______split_113_1_1_2_1_1; + _real ______split_114_1_1_2_1_1; + _real ______split_115_1_1_2_1_1; + _real ______split_116_1_1_2_1_1; + _real ______split_117_1_1_2_1_1; + _boolean ________split_1_3_1_1_1_2_1_1; + _real ________split_2_3_1_1_1_2_1_1; + _boolean _______ecart_2_1_1_1_2_1_1; + _real _______sqrt_2_1_1_1_2_1_1; + _real ________split_94_1_1_1_1_2_1_1; + _real ________split_95_1_1_1_1_2_1_1; + _real ________split_96_1_1_1_1_2_1_1; + _real ________split_97_1_1_1_1_2_1_1; + _real ________split_98_1_1_1_1_2_1_1; + _real ________split_99_1_1_1_1_2_1_1; + _real ________split_101_1_1_1_1_2_1_1; + _boolean __________split_1_1_1_1_1_1_1_2_1_1; + _real __________split_2_1_1_1_1_1_1_2_1_1; + _real _________split_93_1_1_1_1_1_2_1_1; + _real _________split_92_1_1_1_1_1_2_1_1; + _real _________split_91_1_1_1_1_1_2_1_1; + _real _________split_90_1_1_1_1_1_2_1_1; + _real ________sqrt_1_1_1_1_1_2_1_1; + _boolean ________ecart_1_1_1_1_1_2_1_1; + _real _________split_2_2_1_1_1_1_2_1_1; + _boolean _________split_1_2_1_1_1_1_2_1_1; + _real _______split_109_1_1_1_2_1_1; + _real _______split_108_1_1_1_2_1_1; + _real _______split_107_1_1_1_2_1_1; + _real _______split_106_1_1_1_2_1_1; + _real _______split_105_1_1_1_2_1_1; + _real _______split_104_1_1_1_2_1_1; + _real _______split_103_1_1_1_2_1_1; + _real _______split_102_1_1_1_2_1_1; + _real ______sqrt_3_1_1_2_1_1; + _boolean ______ecart_3_1_1_2_1_1; + _real _______split_2_4_1_1_2_1_1; + _boolean _______split_1_4_1_1_2_1_1; + _real _____split_125_1_2_1_1; + _real _____split_124_1_2_1_1; + _real _____split_123_1_2_1_1; + _real _____split_122_1_2_1_1; + _real _____split_121_1_2_1_1; + _real _____split_120_1_2_1_1; + _real _____split_119_1_2_1_1; + _real _____split_118_1_2_1_1; + _real ____sqrt_5_2_1_1; + _boolean ____ecart_5_2_1_1; + _real ____presqrt_5_1_1_1; + _boolean ______split_1_5_1_1_1_1; + _real ______split_2_5_1_1_1_1; + _boolean _____ecart_4_1_1_1_1; + _real _____sqrt_4_1_1_1_1; + _real ______split_110_1_1_1_1_1; + _real ______split_111_1_1_1_1_1; + _real ______split_112_1_1_1_1_1; + _real ______split_113_1_1_1_1_1; + _real ______split_114_1_1_1_1_1; + _real ______split_115_1_1_1_1_1; + _real ______split_116_1_1_1_1_1; + _real ______split_117_1_1_1_1_1; + _boolean ________split_1_3_1_1_1_1_1_1; + _real ________split_2_3_1_1_1_1_1_1; + _boolean _______ecart_2_1_1_1_1_1_1; + _real _______sqrt_2_1_1_1_1_1_1; + _real ________split_94_1_1_1_1_1_1_1; + _real ________split_95_1_1_1_1_1_1_1; + _real ________split_96_1_1_1_1_1_1_1; + _real ________split_97_1_1_1_1_1_1_1; + _real ________split_98_1_1_1_1_1_1_1; + _real ________split_99_1_1_1_1_1_1_1; + _real ________split_101_1_1_1_1_1_1_1; + _boolean __________split_1_1_1_1_1_1_1_1_1_1; + _real __________split_2_1_1_1_1_1_1_1_1_1; + _real _________split_93_1_1_1_1_1_1_1_1; + _real _________split_92_1_1_1_1_1_1_1_1; + _real _________split_91_1_1_1_1_1_1_1_1; + _real _________split_90_1_1_1_1_1_1_1_1; + _real ________sqrt_1_1_1_1_1_1_1_1; + _boolean ________ecart_1_1_1_1_1_1_1_1; + _real _________split_2_2_1_1_1_1_1_1_1; + _boolean _________split_1_2_1_1_1_1_1_1_1; + _real _______split_109_1_1_1_1_1_1; + _real _______split_108_1_1_1_1_1_1; + _real _______split_107_1_1_1_1_1_1; + _real _______split_106_1_1_1_1_1_1; + _real _______split_105_1_1_1_1_1_1; + _real _______split_104_1_1_1_1_1_1; + _real _______split_103_1_1_1_1_1_1; + _real _______split_102_1_1_1_1_1_1; + _real ______sqrt_3_1_1_1_1_1; + _boolean ______ecart_3_1_1_1_1_1; + _real _______split_2_4_1_1_1_1_1; + _boolean _______split_1_4_1_1_1_1_1; + _real _____split_125_1_1_1_1; + _real _____split_124_1_1_1_1; + _real _____split_123_1_1_1_1; + _real _____split_122_1_1_1_1; + _real _____split_121_1_1_1_1; + _real _____split_120_1_1_1_1; + _real _____split_119_1_1_1_1; + _real _____split_118_1_1_1_1; + _real ____sqrt_5_1_1_1; + _boolean ____ecart_5_1_1_1; + _real ___split_77_1_1; + _real ___split_76_1_1; + _real ___split_75_1_1; + _real ___split_74_1_1; + _real ___split_73_1_1; + _real ___split_72_1_1; + _real ___split_71_1_1; + _real ___split_70_1_1; + _real ___split_69_1_1; + _real ___split_68_1_1; + _real ___split_67_1_1; + _real ___split_66_1_1; + _real ___split_65_1_1; + _real ___split_64_1_1; + _real ___split_63_1_1; + _real ___split_62_1_1; + _real ___split_61_1_1; + _real ___split_60_1_1; + _real ___split_59_1_1; + _integer ___split_58_1_1; + _integer ___split_57_1_1; + _boolean ___split_56_1_1; + _boolean ___split_55_1_1; + _integer ___split_54_1_1; + _boolean ___split_53_1_1; + _boolean ___split_52_1_1; + _real ___split_51_1_1; + _real ___split_50_1_1; + _real ___split_49_1_1; + _real __delta_1_1; + _integer __sol_nb_1_1; + _real __a2_1_1; + _real __b2_1_1; + _real __delta_pos_1_1; + _real ___split_4_1_1; + _boolean _split_7; + _real _split_6; + _real _split_5; + _integer St; + _boolean _split_8; + _boolean _split_9; + + Lustre_pre_get(&__split_89_1,&ctx->Lustre_pre_ctx_tab[3]); + Lustre_arrow_step(0.0,__split_89_1,Speed,&ctx->Lustre_arrow_ctx_tab[3]); + switch (St){ + case convertible_anti_col: + _split_5 = *Speed; + ___split_4_1_1 = _split_5 * _split_5; + Lustre_slash_step(___split_4_1_1,5500.0,&_tBrake_1); + __split_17_1 = 2.0 + _tBrake_1; + _split_6 = Dist; + __split_15_1 = - 2.0; + __split_16_1 = __split_15_1 * _split_6; + ___split_60_1_1 = - __split_16_1; + __split_14_1 = 2.0 * _split_5; + Lustre_slash_step(___split_60_1_1,__split_14_1,&___split_61_1_1); + switch (__sol_nb_1_1){ + case convertible_deg1: + ___split_62_1_1 = ___split_61_1_1; + _tChoc_1 = ___split_62_1_1; + break; + case convertible_no_sol: + ___split_59_1_1 = - 1.0; + _tChoc_1 = ___split_59_1_1; + break; +} + ___split_63_1_1 = - __split_14_1; + Lustre_pre_get(&__split_11_1,&ctx->Lustre_pre_ctx_tab[0]); + __split_12_1 = _split_5 - __split_11_1; + Lustre_slash_step(__split_12_1,0.1,&__split_13_1); + Lustre_arrow_step(0.0,__split_13_1,&_Accel_1,&ctx->Lustre_arrow_ctx_tab[0]); + ___split_64_1_1 = 2.0 * _Accel_1; + Lustre_slash_step(___split_63_1_1,___split_64_1_1,&___split_65_1_1); + switch (__sol_nb_1_1){ + case convertible_one_sol: + ___split_66_1_1 = ___split_65_1_1; + _tChoc_1 = ___split_66_1_1; + break; +} + ___split_50_1_1 = 4.0 * _Accel_1; + ___split_51_1_1 = ___split_50_1_1 * __split_16_1; + ___split_49_1_1 = __split_14_1 * __split_14_1; + __delta_1_1 = ___split_49_1_1 - ___split_51_1_1; + switch (__sol_nb_1_1){ + case convertible_two_sol: + __delta_pos_1_1 = __delta_1_1; + ____presqrt_5_1_1_1 = 1.0; + Lustre_slash_step(__delta_pos_1_1,____presqrt_5_1_1_1,&_____split_120_1_1_1_1); + _____split_121_1_1_1_1 = ____presqrt_5_1_1_1 + _____split_120_1_1_1_1; + ____sqrt_5_1_1_1 = 0.5 * _____split_121_1_1_1_1; + _____split_118_1_1_1_1 = ____presqrt_5_1_1_1 - ____sqrt_5_1_1_1; + ______split_2_5_1_1_1_1 = - _____split_118_1_1_1_1; + ______split_1_5_1_1_1_1 = _____split_118_1_1_1_1 >= 0.0; + if (______split_1_5_1_1_1_1 == _true) { + _____split_119_1_1_1_1 = _____split_118_1_1_1_1; + } else { + _____split_119_1_1_1_1 = ______split_2_5_1_1_1_1; + } + ____ecart_5_1_1_1 = _____split_119_1_1_1_1 < 0.0005; + switch (____ecart_5_1_1_1){ + case _false: + _____split_123_1_1_1_1 = ____sqrt_5_1_1_1; + _____split_122_1_1_1_1 = __delta_pos_1_1; + Lustre_slash_step(_____split_122_1_1_1_1,_____split_123_1_1_1_1,&______split_112_1_1_1_1_1); + ______split_113_1_1_1_1_1 = _____split_123_1_1_1_1 + ______split_112_1_1_1_1_1; + _____sqrt_4_1_1_1_1 = 0.5 * ______split_113_1_1_1_1_1; + ______split_110_1_1_1_1_1 = _____split_123_1_1_1_1 - _____sqrt_4_1_1_1_1; + _______split_2_4_1_1_1_1_1 = - ______split_110_1_1_1_1_1; + _______split_1_4_1_1_1_1_1 = ______split_110_1_1_1_1_1 >= 0.0; + if (_______split_1_4_1_1_1_1_1 == _true) { + ______split_111_1_1_1_1_1 = ______split_110_1_1_1_1_1; + } else { + ______split_111_1_1_1_1_1 = _______split_2_4_1_1_1_1_1; + } + _____ecart_4_1_1_1_1 = ______split_111_1_1_1_1_1 < 0.0005; + switch (_____ecart_4_1_1_1_1){ + case _false: + ______split_115_1_1_1_1_1 = _____sqrt_4_1_1_1_1; + ______split_114_1_1_1_1_1 = _____split_122_1_1_1_1; + Lustre_slash_step(______split_114_1_1_1_1_1,______split_115_1_1_1_1_1,&_______split_104_1_1_1_1_1_1); + _______split_105_1_1_1_1_1_1 = ______split_115_1_1_1_1_1 + _______split_104_1_1_1_1_1_1; + ______sqrt_3_1_1_1_1_1 = 0.5 * _______split_105_1_1_1_1_1_1; + _______split_102_1_1_1_1_1_1 = ______split_115_1_1_1_1_1 - ______sqrt_3_1_1_1_1_1; + ________split_2_3_1_1_1_1_1_1 = - _______split_102_1_1_1_1_1_1; + ________split_1_3_1_1_1_1_1_1 = _______split_102_1_1_1_1_1_1 >= 0.0; + if (________split_1_3_1_1_1_1_1_1 == _true) { + _______split_103_1_1_1_1_1_1 = _______split_102_1_1_1_1_1_1; + } else { + _______split_103_1_1_1_1_1_1 = ________split_2_3_1_1_1_1_1_1; + } + ______ecart_3_1_1_1_1_1 = _______split_103_1_1_1_1_1_1 < 0.0005; + switch (______ecart_3_1_1_1_1_1){ + case _false: + _______split_107_1_1_1_1_1_1 = ______sqrt_3_1_1_1_1_1; + _______split_106_1_1_1_1_1_1 = ______split_114_1_1_1_1_1; + Lustre_slash_step(_______split_106_1_1_1_1_1_1,_______split_107_1_1_1_1_1_1,&________split_96_1_1_1_1_1_1_1); + ________split_97_1_1_1_1_1_1_1 = _______split_107_1_1_1_1_1_1 + ________split_96_1_1_1_1_1_1_1; + _______sqrt_2_1_1_1_1_1_1 = 0.5 * ________split_97_1_1_1_1_1_1_1; + ________split_94_1_1_1_1_1_1_1 = _______split_107_1_1_1_1_1_1 - _______sqrt_2_1_1_1_1_1_1; + _________split_2_2_1_1_1_1_1_1_1 = - ________split_94_1_1_1_1_1_1_1; + _________split_1_2_1_1_1_1_1_1_1 = ________split_94_1_1_1_1_1_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_1_1_1 == _true) { + ________split_95_1_1_1_1_1_1_1 = ________split_94_1_1_1_1_1_1_1; + } else { + ________split_95_1_1_1_1_1_1_1 = _________split_2_2_1_1_1_1_1_1_1; + } + _______ecart_2_1_1_1_1_1_1 = ________split_95_1_1_1_1_1_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_1_1_1){ + case _false: + ________split_98_1_1_1_1_1_1_1 = _______split_106_1_1_1_1_1_1; + ________split_99_1_1_1_1_1_1_1 = _______sqrt_2_1_1_1_1_1_1; + Lustre_slash_step(________split_98_1_1_1_1_1_1_1,________split_99_1_1_1_1_1_1_1,&_________split_92_1_1_1_1_1_1_1_1); + _________split_93_1_1_1_1_1_1_1_1 = ________split_99_1_1_1_1_1_1_1 + _________split_92_1_1_1_1_1_1_1_1; + ________sqrt_1_1_1_1_1_1_1_1 = 0.5 * _________split_93_1_1_1_1_1_1_1_1; + _______split_108_1_1_1_1_1_1 = ________sqrt_1_1_1_1_1_1_1_1; + break; + case _true: + ________split_101_1_1_1_1_1_1_1 = _______sqrt_2_1_1_1_1_1_1; + _______split_108_1_1_1_1_1_1 = ________split_101_1_1_1_1_1_1_1; + break; +} + ______split_116_1_1_1_1_1 = _______split_108_1_1_1_1_1_1; + break; + case _true: + _______split_109_1_1_1_1_1_1 = ______sqrt_3_1_1_1_1_1; + ______split_116_1_1_1_1_1 = _______split_109_1_1_1_1_1_1; + break; +} + _____split_124_1_1_1_1 = ______split_116_1_1_1_1_1; + break; + case _true: + ______split_117_1_1_1_1_1 = _____sqrt_4_1_1_1_1; + _____split_124_1_1_1_1 = ______split_117_1_1_1_1_1; + break; +} + ___split_68_1_1 = _____split_124_1_1_1_1; + break; + case _true: + _____split_125_1_1_1_1 = ____sqrt_5_1_1_1; + ___split_68_1_1 = _____split_125_1_1_1_1; + break; +} + __a2_1_1 = _Accel_1; + ___split_69_1_1 = 2.0 * __a2_1_1; + Lustre_slash_step(___split_68_1_1,___split_69_1_1,&___split_70_1_1); + __b2_1_1 = __split_14_1; + ___split_67_1_1 = - __b2_1_1; + ___split_71_1_1 = ___split_67_1_1 + ___split_70_1_1; + ____presqrt_5_2_1_1 = 1.0; + Lustre_slash_step(__delta_pos_1_1,____presqrt_5_2_1_1,&_____split_120_1_2_1_1); + _____split_121_1_2_1_1 = ____presqrt_5_2_1_1 + _____split_120_1_2_1_1; + ____sqrt_5_2_1_1 = 0.5 * _____split_121_1_2_1_1; + _____split_118_1_2_1_1 = ____presqrt_5_2_1_1 - ____sqrt_5_2_1_1; + ______split_2_5_1_2_1_1 = - _____split_118_1_2_1_1; + ______split_1_5_1_2_1_1 = _____split_118_1_2_1_1 >= 0.0; + if (______split_1_5_1_2_1_1 == _true) { + _____split_119_1_2_1_1 = _____split_118_1_2_1_1; + } else { + _____split_119_1_2_1_1 = ______split_2_5_1_2_1_1; + } + ____ecart_5_2_1_1 = _____split_119_1_2_1_1 < 0.0005; + switch (____ecart_5_2_1_1){ + case _false: + _____split_123_1_2_1_1 = ____sqrt_5_2_1_1; + _____split_122_1_2_1_1 = __delta_pos_1_1; + Lustre_slash_step(_____split_122_1_2_1_1,_____split_123_1_2_1_1,&______split_112_1_1_2_1_1); + ______split_113_1_1_2_1_1 = _____split_123_1_2_1_1 + ______split_112_1_1_2_1_1; + _____sqrt_4_1_2_1_1 = 0.5 * ______split_113_1_1_2_1_1; + ______split_110_1_1_2_1_1 = _____split_123_1_2_1_1 - _____sqrt_4_1_2_1_1; + _______split_2_4_1_1_2_1_1 = - ______split_110_1_1_2_1_1; + _______split_1_4_1_1_2_1_1 = ______split_110_1_1_2_1_1 >= 0.0; + if (_______split_1_4_1_1_2_1_1 == _true) { + ______split_111_1_1_2_1_1 = ______split_110_1_1_2_1_1; + } else { + ______split_111_1_1_2_1_1 = _______split_2_4_1_1_2_1_1; + } + _____ecart_4_1_2_1_1 = ______split_111_1_1_2_1_1 < 0.0005; + switch (_____ecart_4_1_2_1_1){ + case _false: + ______split_115_1_1_2_1_1 = _____sqrt_4_1_2_1_1; + ______split_114_1_1_2_1_1 = _____split_122_1_2_1_1; + Lustre_slash_step(______split_114_1_1_2_1_1,______split_115_1_1_2_1_1,&_______split_104_1_1_1_2_1_1); + _______split_105_1_1_1_2_1_1 = ______split_115_1_1_2_1_1 + _______split_104_1_1_1_2_1_1; + ______sqrt_3_1_1_2_1_1 = 0.5 * _______split_105_1_1_1_2_1_1; + _______split_102_1_1_1_2_1_1 = ______split_115_1_1_2_1_1 - ______sqrt_3_1_1_2_1_1; + ________split_2_3_1_1_1_2_1_1 = - _______split_102_1_1_1_2_1_1; + ________split_1_3_1_1_1_2_1_1 = _______split_102_1_1_1_2_1_1 >= 0.0; + if (________split_1_3_1_1_1_2_1_1 == _true) { + _______split_103_1_1_1_2_1_1 = _______split_102_1_1_1_2_1_1; + } else { + _______split_103_1_1_1_2_1_1 = ________split_2_3_1_1_1_2_1_1; + } + ______ecart_3_1_1_2_1_1 = _______split_103_1_1_1_2_1_1 < 0.0005; + switch (______ecart_3_1_1_2_1_1){ + case _false: + _______split_107_1_1_1_2_1_1 = ______sqrt_3_1_1_2_1_1; + _______split_106_1_1_1_2_1_1 = ______split_114_1_1_2_1_1; + Lustre_slash_step(_______split_106_1_1_1_2_1_1,_______split_107_1_1_1_2_1_1,&________split_96_1_1_1_1_2_1_1); + ________split_97_1_1_1_1_2_1_1 = _______split_107_1_1_1_2_1_1 + ________split_96_1_1_1_1_2_1_1; + _______sqrt_2_1_1_1_2_1_1 = 0.5 * ________split_97_1_1_1_1_2_1_1; + ________split_94_1_1_1_1_2_1_1 = _______split_107_1_1_1_2_1_1 - _______sqrt_2_1_1_1_2_1_1; + _________split_2_2_1_1_1_1_2_1_1 = - ________split_94_1_1_1_1_2_1_1; + _________split_1_2_1_1_1_1_2_1_1 = ________split_94_1_1_1_1_2_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_2_1_1 == _true) { + ________split_95_1_1_1_1_2_1_1 = ________split_94_1_1_1_1_2_1_1; + } else { + ________split_95_1_1_1_1_2_1_1 = _________split_2_2_1_1_1_1_2_1_1; + } + _______ecart_2_1_1_1_2_1_1 = ________split_95_1_1_1_1_2_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_2_1_1){ + case _false: + ________split_98_1_1_1_1_2_1_1 = _______split_106_1_1_1_2_1_1; + ________split_99_1_1_1_1_2_1_1 = _______sqrt_2_1_1_1_2_1_1; + Lustre_slash_step(________split_98_1_1_1_1_2_1_1,________split_99_1_1_1_1_2_1_1,&_________split_92_1_1_1_1_1_2_1_1); + _________split_93_1_1_1_1_1_2_1_1 = ________split_99_1_1_1_1_2_1_1 + _________split_92_1_1_1_1_1_2_1_1; + ________sqrt_1_1_1_1_1_2_1_1 = 0.5 * _________split_93_1_1_1_1_1_2_1_1; + _______split_108_1_1_1_2_1_1 = ________sqrt_1_1_1_1_1_2_1_1; + break; + case _true: + ________split_101_1_1_1_1_2_1_1 = _______sqrt_2_1_1_1_2_1_1; + _______split_108_1_1_1_2_1_1 = ________split_101_1_1_1_1_2_1_1; + break; +} + ______split_116_1_1_2_1_1 = _______split_108_1_1_1_2_1_1; + break; + case _true: + _______split_109_1_1_1_2_1_1 = ______sqrt_3_1_1_2_1_1; + ______split_116_1_1_2_1_1 = _______split_109_1_1_1_2_1_1; + break; +} + _____split_124_1_2_1_1 = ______split_116_1_1_2_1_1; + break; + case _true: + ______split_117_1_1_2_1_1 = _____sqrt_4_1_2_1_1; + _____split_124_1_2_1_1 = ______split_117_1_1_2_1_1; + break; +} + ___split_73_1_1 = _____split_124_1_2_1_1; + break; + case _true: + _____split_125_1_2_1_1 = ____sqrt_5_2_1_1; + ___split_73_1_1 = _____split_125_1_2_1_1; + break; +} + ___split_74_1_1 = 2.0 * __a2_1_1; + Lustre_slash_step(___split_73_1_1,___split_74_1_1,&___split_75_1_1); + ___split_72_1_1 = - __b2_1_1; + ___split_76_1_1 = ___split_72_1_1 - ___split_75_1_1; + ____split_10_1_1_1 = ___split_71_1_1 < ___split_76_1_1; + if (____split_10_1_1_1 == _true) { + ___split_77_1_1 = ___split_76_1_1; + } else { + ___split_77_1_1 = ___split_71_1_1; + } + _tChoc_1 = ___split_77_1_1; + break; +} + _split_7 = _tChoc_1 < __split_17_1; + *Danger = _split_7; + Lustre_pre_set(_split_5,&ctx->Lustre_pre_ctx_tab[0]); + switch (__sol_nb_1_1){ + case convertible_two_sol: + switch (____ecart_5_1_1_1){ + case _false: + switch (_____ecart_4_1_1_1_1){ + case _false: + switch (______ecart_3_1_1_1_1_1){ + case _false: + switch (_______ecart_2_1_1_1_1_1_1){ + case _false: + _________split_90_1_1_1_1_1_1_1_1 = ________split_99_1_1_1_1_1_1_1 - ________sqrt_1_1_1_1_1_1_1_1; + __________split_2_1_1_1_1_1_1_1_1_1 = - _________split_90_1_1_1_1_1_1_1_1; + __________split_1_1_1_1_1_1_1_1_1_1 = _________split_90_1_1_1_1_1_1_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_1_1_1 == _true) { + _________split_91_1_1_1_1_1_1_1_1 = _________split_90_1_1_1_1_1_1_1_1; + } else { + _________split_91_1_1_1_1_1_1_1_1 = __________split_2_1_1_1_1_1_1_1_1_1; + } + ________ecart_1_1_1_1_1_1_1_1 = _________split_91_1_1_1_1_1_1_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + switch (____ecart_5_2_1_1){ + case _false: + switch (_____ecart_4_1_2_1_1){ + case _false: + switch (______ecart_3_1_1_2_1_1){ + case _false: + switch (_______ecart_2_1_1_1_2_1_1){ + case _false: + _________split_90_1_1_1_1_1_2_1_1 = ________split_99_1_1_1_1_2_1_1 - ________sqrt_1_1_1_1_1_2_1_1; + __________split_2_1_1_1_1_1_1_2_1_1 = - _________split_90_1_1_1_1_1_2_1_1; + __________split_1_1_1_1_1_1_1_2_1_1 = _________split_90_1_1_1_1_1_2_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_2_1_1 == _true) { + _________split_91_1_1_1_1_1_2_1_1 = _________split_90_1_1_1_1_1_2_1_1; + } else { + _________split_91_1_1_1_1_1_2_1_1 = __________split_2_1_1_1_1_1_1_2_1_1; + } + ________ecart_1_1_1_1_1_2_1_1 = _________split_91_1_1_1_1_1_2_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + break; +} + ___split_52_1_1 = _Accel_1 == 0.0; + ___split_53_1_1 = __split_14_1 == 0.0; + if (___split_53_1_1 == _true) { + ___split_54_1_1 = convertible_no_sol; + } else { + ___split_54_1_1 = convertible_deg1; + } + ___split_56_1_1 = __delta_1_1 == 0.0; + if (___split_56_1_1 == _true) { + ___split_57_1_1 = convertible_one_sol; + } else { + ___split_57_1_1 = convertible_two_sol; + } + ___split_55_1_1 = __delta_1_1 < 0.0; + if (___split_55_1_1 == _true) { + ___split_58_1_1 = convertible_no_sol; + } else { + ___split_58_1_1 = ___split_57_1_1; + } + if (___split_52_1_1 == _true) { + __sol_nb_1_1 = ___split_54_1_1; + } else { + __sol_nb_1_1 = ___split_58_1_1; + } + break; + case convertible_run: + *Danger = _false; + break; + case convertible_stationnary: + *Danger = _false; + break; +} + Lustre_pre_2_get(&__split_138_1,&ctx->Lustre_pre_2_ctx_tab[0]); + _ac_cond_1 = *Speed >= 110.0; + switch (_pst_1){ + case convertible_anti_col: + __split_147_1 = _ac_cond_1; + __split_148_1 = ! __split_147_1; + if (__split_148_1 == _true) { + __split_149_1 = convertible_run; + } else { + __split_149_1 = convertible_anti_col; + } + St = __split_149_1; + break; +} + __split_143_1 = *Speed == 0.0; + switch (_pst_1){ + case convertible_run: + __split_144_1 = __split_143_1; + if (__split_144_1 == _true) { + __split_145_1 = convertible_stationnary; + } else { + __split_145_1 = convertible_run; + } + __split_142_1 = _ac_cond_1; + if (__split_142_1 == _true) { + __split_146_1 = convertible_anti_col; + } else { + __split_146_1 = __split_145_1; + } + St = __split_146_1; + break; +} + switch (_pst_3){ + case convertible_in_motion: + __split_22_1 = Done; + if (__split_22_1 == _true) { + __split_23_1 = convertible_locked; + } else { + __split_23_1 = convertible_in_motion; + } + _st_2 = __split_23_1; + break; +} + __split_19_1 = OnOff & Parked; + switch (_pst_3){ + case convertible_locked: + __split_20_1 = __split_19_1; + if (__split_20_1 == _true) { + __split_21_1 = convertible_in_motion; + } else { + __split_21_1 = convertible_locked; + } + _st_2 = __split_21_1; + break; +} + *Locked = _st_2 == convertible_locked; + __split_139_1 = Start & *Locked; + switch (_pst_1){ + case convertible_stationnary: + __split_140_1 = __split_139_1; + if (__split_140_1 == _true) { + __split_141_1 = convertible_run; + } else { + __split_141_1 = convertible_stationnary; + } + St = __split_141_1; + break; +} + Lustre_pre_2_set(St,&ctx->Lustre_pre_2_ctx_tab[0]); + Lustre_arrow_2_step(convertible_stationnary,__split_138_1,&_pst_1,&ctx->Lustre_arrow_2_ctx_tab[0]); + if (Rot == _true) { + _dx_1 = 1.4; + } else { + _dx_1 = 0.0; + } + if (Tick == _true) { + _tx_1 = 0.1; + } else { + _tx_1 = 0.0; + } + _TickOrRot_1 = Tick | Rot; + Lustre_pre_get(&__split_78_1,&ctx->Lustre_pre_ctx_tab[1]); + Lustre_arrow_step(0.0,__split_78_1,&_pd_1,&ctx->Lustre_arrow_ctx_tab[1]); + switch (_TickOrRot_1){ + case _false: + __split_80_1 = _pd_1; + _d_1 = __split_80_1; + break; + case _true: + __split_81_1 = _dx_1; + ____split_3_2_1_1.v = __split_81_1; + _____acc_1_1_2_1_1.v = ____split_3_2_1_1.v; + _____acc_2_1_2_1_1.v = _____acc_1_1_2_1_1.v; + _____acc_3_1_2_1_1.v = _____acc_2_1_2_1_1.v; + _____acc_4_1_2_1_1.v = _____acc_3_1_2_1_1.v; + _____acc_5_1_2_1_1.v = _____acc_4_1_2_1_1.v; + _____acc_6_1_2_1_1.v = _____acc_5_1_2_1_1.v; + _____acc_7_1_2_1_1.v = _____acc_6_1_2_1_1.v; + _____acc_8_1_2_1_1.v = _____acc_7_1_2_1_1.v; + _____acc_9_1_2_1_1.v = _____acc_8_1_2_1_1.v; + _____acc_10_1_2_1_1.v = _____acc_9_1_2_1_1.v; + _____acc_11_1_2_1_1.v = _____acc_10_1_2_1_1.v; + _____acc_12_1_2_1_1.v = _____acc_11_1_2_1_1.v; + _____acc_13_1_2_1_1.v = _____acc_12_1_2_1_1.v; + _____acc_14_1_2_1_1.v = _____acc_13_1_2_1_1.v; + _____acc_15_1_2_1_1.v = _____acc_14_1_2_1_1.v; + _____acc_16_1_2_1_1.v = _____acc_15_1_2_1_1.v; + _____acc_17_1_2_1_1.v = _____acc_16_1_2_1_1.v; + _____acc_18_1_2_1_1.v = _____acc_17_1_2_1_1.v; + _____acc_19_1_2_1_1.v = _____acc_18_1_2_1_1.v; + _____acc_20_1_2_1_1.v = _____acc_19_1_2_1_1.v; + _____acc_21_1_2_1_1.v = _____acc_20_1_2_1_1.v; + _____acc_22_1_2_1_1.v = _____acc_21_1_2_1_1.v; + _____acc_23_1_2_1_1.v = _____acc_22_1_2_1_1.v; + _____acc_24_1_2_1_1.v = _____acc_23_1_2_1_1.v; + _____acc_25_1_2_1_1.v = _____acc_24_1_2_1_1.v; + _____acc_26_1_2_1_1.v = _____acc_25_1_2_1_1.v; + _____acc_27_1_2_1_1.v = _____acc_26_1_2_1_1.v; + _____acc_28_1_2_1_1.v = _____acc_27_1_2_1_1.v; + _____acc_29_1_2_1_1.v = _____acc_28_1_2_1_1.v; + _____acc_30_1_2_1_1.v = _____acc_29_1_2_1_1.v; + _____acc_31_1_2_1_1.v = _____acc_30_1_2_1_1.v; + _____acc_32_1_2_1_1.v = _____acc_31_1_2_1_1.v; + _____acc_33_1_2_1_1.v = _____acc_32_1_2_1_1.v; + _____acc_34_1_2_1_1.v = _____acc_33_1_2_1_1.v; + _____acc_35_1_2_1_1.v = _____acc_34_1_2_1_1.v; + _____acc_36_1_2_1_1.v = _____acc_35_1_2_1_1.v; + _____acc_37_1_2_1_1.v = _____acc_36_1_2_1_1.v; + _____acc_38_1_2_1_1.v = _____acc_37_1_2_1_1.v; + _____acc_39_1_2_1_1.v = _____acc_38_1_2_1_1.v; + _____acc_40_1_2_1_1.v = _____acc_39_1_2_1_1.v; + _____acc_41_1_2_1_1.v = _____acc_40_1_2_1_1.v; + _____acc_42_1_2_1_1.v = _____acc_41_1_2_1_1.v; + _____acc_43_1_2_1_1.v = _____acc_42_1_2_1_1.v; + _____acc_44_1_2_1_1.v = _____acc_43_1_2_1_1.v; + _____acc_45_1_2_1_1.v = _____acc_44_1_2_1_1.v; + _____acc_46_1_2_1_1.v = _____acc_45_1_2_1_1.v; + _____acc_47_1_2_1_1.v = _____acc_46_1_2_1_1.v; + _____acc_48_1_2_1_1.v = _____acc_47_1_2_1_1.v; + _____acc_49_1_2_1_1.v = _____acc_48_1_2_1_1.v; + ____split_3_2_1_1.i = 0; + ______split_137_1_1_2_1_1 = ____split_3_2_1_1.i + 1; + _____acc_1_1_2_1_1.i = ______split_137_1_1_2_1_1; + ______split_137_2_1_2_1_1 = _____acc_1_1_2_1_1.i + 1; + _____acc_2_1_2_1_1.i = ______split_137_2_1_2_1_1; + ______split_137_3_1_2_1_1 = _____acc_2_1_2_1_1.i + 1; + _____acc_3_1_2_1_1.i = ______split_137_3_1_2_1_1; + ______split_137_4_1_2_1_1 = _____acc_3_1_2_1_1.i + 1; + _____acc_4_1_2_1_1.i = ______split_137_4_1_2_1_1; + ______split_137_5_1_2_1_1 = _____acc_4_1_2_1_1.i + 1; + _____acc_5_1_2_1_1.i = ______split_137_5_1_2_1_1; + ______split_137_6_1_2_1_1 = _____acc_5_1_2_1_1.i + 1; + _____acc_6_1_2_1_1.i = ______split_137_6_1_2_1_1; + ______split_137_7_1_2_1_1 = _____acc_6_1_2_1_1.i + 1; + _____acc_7_1_2_1_1.i = ______split_137_7_1_2_1_1; + ______split_137_8_1_2_1_1 = _____acc_7_1_2_1_1.i + 1; + _____acc_8_1_2_1_1.i = ______split_137_8_1_2_1_1; + ______split_137_9_1_2_1_1 = _____acc_8_1_2_1_1.i + 1; + _____acc_9_1_2_1_1.i = ______split_137_9_1_2_1_1; + ______split_137_10_1_2_1_1 = _____acc_9_1_2_1_1.i + 1; + _____acc_10_1_2_1_1.i = ______split_137_10_1_2_1_1; + ______split_137_11_1_2_1_1 = _____acc_10_1_2_1_1.i + 1; + _____acc_11_1_2_1_1.i = ______split_137_11_1_2_1_1; + ______split_137_12_1_2_1_1 = _____acc_11_1_2_1_1.i + 1; + _____acc_12_1_2_1_1.i = ______split_137_12_1_2_1_1; + ______split_137_13_1_2_1_1 = _____acc_12_1_2_1_1.i + 1; + _____acc_13_1_2_1_1.i = ______split_137_13_1_2_1_1; + ______split_137_14_1_2_1_1 = _____acc_13_1_2_1_1.i + 1; + _____acc_14_1_2_1_1.i = ______split_137_14_1_2_1_1; + ______split_137_15_1_2_1_1 = _____acc_14_1_2_1_1.i + 1; + _____acc_15_1_2_1_1.i = ______split_137_15_1_2_1_1; + ______split_137_16_1_2_1_1 = _____acc_15_1_2_1_1.i + 1; + _____acc_16_1_2_1_1.i = ______split_137_16_1_2_1_1; + ______split_137_17_1_2_1_1 = _____acc_16_1_2_1_1.i + 1; + _____acc_17_1_2_1_1.i = ______split_137_17_1_2_1_1; + ______split_137_18_1_2_1_1 = _____acc_17_1_2_1_1.i + 1; + _____acc_18_1_2_1_1.i = ______split_137_18_1_2_1_1; + ______split_137_19_1_2_1_1 = _____acc_18_1_2_1_1.i + 1; + _____acc_19_1_2_1_1.i = ______split_137_19_1_2_1_1; + ______split_137_20_1_2_1_1 = _____acc_19_1_2_1_1.i + 1; + _____acc_20_1_2_1_1.i = ______split_137_20_1_2_1_1; + ______split_137_21_1_2_1_1 = _____acc_20_1_2_1_1.i + 1; + _____acc_21_1_2_1_1.i = ______split_137_21_1_2_1_1; + ______split_137_22_1_2_1_1 = _____acc_21_1_2_1_1.i + 1; + _____acc_22_1_2_1_1.i = ______split_137_22_1_2_1_1; + ______split_137_23_1_2_1_1 = _____acc_22_1_2_1_1.i + 1; + _____acc_23_1_2_1_1.i = ______split_137_23_1_2_1_1; + ______split_137_24_1_2_1_1 = _____acc_23_1_2_1_1.i + 1; + _____acc_24_1_2_1_1.i = ______split_137_24_1_2_1_1; + ______split_137_25_1_2_1_1 = _____acc_24_1_2_1_1.i + 1; + _____acc_25_1_2_1_1.i = ______split_137_25_1_2_1_1; + ______split_137_26_1_2_1_1 = _____acc_25_1_2_1_1.i + 1; + _____acc_26_1_2_1_1.i = ______split_137_26_1_2_1_1; + ______split_137_27_1_2_1_1 = _____acc_26_1_2_1_1.i + 1; + _____acc_27_1_2_1_1.i = ______split_137_27_1_2_1_1; + ______split_137_28_1_2_1_1 = _____acc_27_1_2_1_1.i + 1; + _____acc_28_1_2_1_1.i = ______split_137_28_1_2_1_1; + ______split_137_29_1_2_1_1 = _____acc_28_1_2_1_1.i + 1; + _____acc_29_1_2_1_1.i = ______split_137_29_1_2_1_1; + ______split_137_30_1_2_1_1 = _____acc_29_1_2_1_1.i + 1; + _____acc_30_1_2_1_1.i = ______split_137_30_1_2_1_1; + ______split_137_31_1_2_1_1 = _____acc_30_1_2_1_1.i + 1; + _____acc_31_1_2_1_1.i = ______split_137_31_1_2_1_1; + ______split_137_32_1_2_1_1 = _____acc_31_1_2_1_1.i + 1; + _____acc_32_1_2_1_1.i = ______split_137_32_1_2_1_1; + ______split_137_33_1_2_1_1 = _____acc_32_1_2_1_1.i + 1; + _____acc_33_1_2_1_1.i = ______split_137_33_1_2_1_1; + ______split_137_34_1_2_1_1 = _____acc_33_1_2_1_1.i + 1; + _____acc_34_1_2_1_1.i = ______split_137_34_1_2_1_1; + ______split_137_35_1_2_1_1 = _____acc_34_1_2_1_1.i + 1; + _____acc_35_1_2_1_1.i = ______split_137_35_1_2_1_1; + ______split_137_36_1_2_1_1 = _____acc_35_1_2_1_1.i + 1; + _____acc_36_1_2_1_1.i = ______split_137_36_1_2_1_1; + ______split_137_37_1_2_1_1 = _____acc_36_1_2_1_1.i + 1; + _____acc_37_1_2_1_1.i = ______split_137_37_1_2_1_1; + ______split_137_38_1_2_1_1 = _____acc_37_1_2_1_1.i + 1; + _____acc_38_1_2_1_1.i = ______split_137_38_1_2_1_1; + ______split_137_39_1_2_1_1 = _____acc_38_1_2_1_1.i + 1; + _____acc_39_1_2_1_1.i = ______split_137_39_1_2_1_1; + ______split_137_40_1_2_1_1 = _____acc_39_1_2_1_1.i + 1; + _____acc_40_1_2_1_1.i = ______split_137_40_1_2_1_1; + ______split_137_41_1_2_1_1 = _____acc_40_1_2_1_1.i + 1; + _____acc_41_1_2_1_1.i = ______split_137_41_1_2_1_1; + ______split_137_42_1_2_1_1 = _____acc_41_1_2_1_1.i + 1; + _____acc_42_1_2_1_1.i = ______split_137_42_1_2_1_1; + ______split_137_43_1_2_1_1 = _____acc_42_1_2_1_1.i + 1; + _____acc_43_1_2_1_1.i = ______split_137_43_1_2_1_1; + ______split_137_44_1_2_1_1 = _____acc_43_1_2_1_1.i + 1; + _____acc_44_1_2_1_1.i = ______split_137_44_1_2_1_1; + ______split_137_45_1_2_1_1 = _____acc_44_1_2_1_1.i + 1; + _____acc_45_1_2_1_1.i = ______split_137_45_1_2_1_1; + ______split_137_46_1_2_1_1 = _____acc_45_1_2_1_1.i + 1; + _____acc_46_1_2_1_1.i = ______split_137_46_1_2_1_1; + ______split_137_47_1_2_1_1 = _____acc_46_1_2_1_1.i + 1; + _____acc_47_1_2_1_1.i = ______split_137_47_1_2_1_1; + ______split_137_48_1_2_1_1 = _____acc_47_1_2_1_1.i + 1; + _____acc_48_1_2_1_1.i = ______split_137_48_1_2_1_1; + ______split_137_49_1_2_1_1 = _____acc_48_1_2_1_1.i + 1; + _____acc_49_1_2_1_1.i = ______split_137_49_1_2_1_1; + Lustre_pre_2_get(&___split_126_1_1,&ctx->Lustre_pre_2_ctx_tab[1]); + Lustre_arrow_2_step(0,___split_126_1_1,&___split_127_1_1,&ctx->Lustre_arrow_2_ctx_tab[1]); + __i_2_1 = ___split_127_1_1 + 1; + ___split_130_1_1 = __i_2_1 % 50; + ____split_3_2_1_1.j = ___split_130_1_1; + _____acc_1_1_2_1_1.j = ____split_3_2_1_1.j; + _____acc_2_1_2_1_1.j = _____acc_1_1_2_1_1.j; + _____acc_3_1_2_1_1.j = _____acc_2_1_2_1_1.j; + _____acc_4_1_2_1_1.j = _____acc_3_1_2_1_1.j; + _____acc_5_1_2_1_1.j = _____acc_4_1_2_1_1.j; + _____acc_6_1_2_1_1.j = _____acc_5_1_2_1_1.j; + _____acc_7_1_2_1_1.j = _____acc_6_1_2_1_1.j; + _____acc_8_1_2_1_1.j = _____acc_7_1_2_1_1.j; + _____acc_9_1_2_1_1.j = _____acc_8_1_2_1_1.j; + _____acc_10_1_2_1_1.j = _____acc_9_1_2_1_1.j; + _____acc_11_1_2_1_1.j = _____acc_10_1_2_1_1.j; + _____acc_12_1_2_1_1.j = _____acc_11_1_2_1_1.j; + _____acc_13_1_2_1_1.j = _____acc_12_1_2_1_1.j; + _____acc_14_1_2_1_1.j = _____acc_13_1_2_1_1.j; + _____acc_15_1_2_1_1.j = _____acc_14_1_2_1_1.j; + _____acc_16_1_2_1_1.j = _____acc_15_1_2_1_1.j; + _____acc_17_1_2_1_1.j = _____acc_16_1_2_1_1.j; + _____acc_18_1_2_1_1.j = _____acc_17_1_2_1_1.j; + _____acc_19_1_2_1_1.j = _____acc_18_1_2_1_1.j; + _____acc_20_1_2_1_1.j = _____acc_19_1_2_1_1.j; + _____acc_21_1_2_1_1.j = _____acc_20_1_2_1_1.j; + _____acc_22_1_2_1_1.j = _____acc_21_1_2_1_1.j; + _____acc_23_1_2_1_1.j = _____acc_22_1_2_1_1.j; + _____acc_24_1_2_1_1.j = _____acc_23_1_2_1_1.j; + _____acc_25_1_2_1_1.j = _____acc_24_1_2_1_1.j; + _____acc_26_1_2_1_1.j = _____acc_25_1_2_1_1.j; + _____acc_27_1_2_1_1.j = _____acc_26_1_2_1_1.j; + _____acc_28_1_2_1_1.j = _____acc_27_1_2_1_1.j; + _____acc_29_1_2_1_1.j = _____acc_28_1_2_1_1.j; + _____acc_30_1_2_1_1.j = _____acc_29_1_2_1_1.j; + _____acc_31_1_2_1_1.j = _____acc_30_1_2_1_1.j; + _____acc_32_1_2_1_1.j = _____acc_31_1_2_1_1.j; + _____acc_33_1_2_1_1.j = _____acc_32_1_2_1_1.j; + _____acc_34_1_2_1_1.j = _____acc_33_1_2_1_1.j; + _____acc_35_1_2_1_1.j = _____acc_34_1_2_1_1.j; + _____acc_36_1_2_1_1.j = _____acc_35_1_2_1_1.j; + _____acc_37_1_2_1_1.j = _____acc_36_1_2_1_1.j; + _____acc_38_1_2_1_1.j = _____acc_37_1_2_1_1.j; + _____acc_39_1_2_1_1.j = _____acc_38_1_2_1_1.j; + _____acc_40_1_2_1_1.j = _____acc_39_1_2_1_1.j; + _____acc_41_1_2_1_1.j = _____acc_40_1_2_1_1.j; + _____acc_42_1_2_1_1.j = _____acc_41_1_2_1_1.j; + _____acc_43_1_2_1_1.j = _____acc_42_1_2_1_1.j; + _____acc_44_1_2_1_1.j = _____acc_43_1_2_1_1.j; + _____acc_45_1_2_1_1.j = _____acc_44_1_2_1_1.j; + _____acc_46_1_2_1_1.j = _____acc_45_1_2_1_1.j; + _____acc_47_1_2_1_1.j = _____acc_46_1_2_1_1.j; + _____acc_48_1_2_1_1.j = _____acc_47_1_2_1_1.j; + _____acc_49_1_2_1_1.j = _____acc_48_1_2_1_1.j; + ______split_136_50_1_2_1_1 = _____acc_49_1_2_1_1.i == _____acc_49_1_2_1_1.j; + Lustre_pre_3_get(___split_129_1_1,&ctx->Lustre_pre_3_ctx_tab[0]); + Lustre_hat_step(0.0,___split_128_1_1); + Lustre_arrow_3_step(___split_128_1_1,___split_129_1_1,__pre_a_2_1,&ctx->Lustre_arrow_3_ctx_tab[0]); + _____cell_50_1_2_1_1 = __pre_a_2_1[49]; + if (______split_136_50_1_2_1_1 == _true) { + _____ncell_50_1_2_1_1 = _____acc_49_1_2_1_1.v; + } else { + _____ncell_50_1_2_1_1 = _____cell_50_1_2_1_1; + } + __a_3_1[49] = _____ncell_50_1_2_1_1; + ______split_136_49_1_2_1_1 = _____acc_48_1_2_1_1.i == _____acc_48_1_2_1_1.j; + _____cell_49_1_2_1_1 = __pre_a_2_1[48]; + if (______split_136_49_1_2_1_1 == _true) { + _____ncell_49_1_2_1_1 = _____acc_48_1_2_1_1.v; + } else { + _____ncell_49_1_2_1_1 = _____cell_49_1_2_1_1; + } + __a_3_1[48] = _____ncell_49_1_2_1_1; + ______split_136_48_1_2_1_1 = _____acc_47_1_2_1_1.i == _____acc_47_1_2_1_1.j; + _____cell_48_1_2_1_1 = __pre_a_2_1[47]; + if (______split_136_48_1_2_1_1 == _true) { + _____ncell_48_1_2_1_1 = _____acc_47_1_2_1_1.v; + } else { + _____ncell_48_1_2_1_1 = _____cell_48_1_2_1_1; + } + __a_3_1[47] = _____ncell_48_1_2_1_1; + ______split_136_47_1_2_1_1 = _____acc_46_1_2_1_1.i == _____acc_46_1_2_1_1.j; + _____cell_47_1_2_1_1 = __pre_a_2_1[46]; + if (______split_136_47_1_2_1_1 == _true) { + _____ncell_47_1_2_1_1 = _____acc_46_1_2_1_1.v; + } else { + _____ncell_47_1_2_1_1 = _____cell_47_1_2_1_1; + } + __a_3_1[46] = _____ncell_47_1_2_1_1; + ______split_136_46_1_2_1_1 = _____acc_45_1_2_1_1.i == _____acc_45_1_2_1_1.j; + _____cell_46_1_2_1_1 = __pre_a_2_1[45]; + if (______split_136_46_1_2_1_1 == _true) { + _____ncell_46_1_2_1_1 = _____acc_45_1_2_1_1.v; + } else { + _____ncell_46_1_2_1_1 = _____cell_46_1_2_1_1; + } + __a_3_1[45] = _____ncell_46_1_2_1_1; + ______split_136_45_1_2_1_1 = _____acc_44_1_2_1_1.i == _____acc_44_1_2_1_1.j; + _____cell_45_1_2_1_1 = __pre_a_2_1[44]; + if (______split_136_45_1_2_1_1 == _true) { + _____ncell_45_1_2_1_1 = _____acc_44_1_2_1_1.v; + } else { + _____ncell_45_1_2_1_1 = _____cell_45_1_2_1_1; + } + __a_3_1[44] = _____ncell_45_1_2_1_1; + ______split_136_44_1_2_1_1 = _____acc_43_1_2_1_1.i == _____acc_43_1_2_1_1.j; + _____cell_44_1_2_1_1 = __pre_a_2_1[43]; + if (______split_136_44_1_2_1_1 == _true) { + _____ncell_44_1_2_1_1 = _____acc_43_1_2_1_1.v; + } else { + _____ncell_44_1_2_1_1 = _____cell_44_1_2_1_1; + } + __a_3_1[43] = _____ncell_44_1_2_1_1; + ______split_136_43_1_2_1_1 = _____acc_42_1_2_1_1.i == _____acc_42_1_2_1_1.j; + _____cell_43_1_2_1_1 = __pre_a_2_1[42]; + if (______split_136_43_1_2_1_1 == _true) { + _____ncell_43_1_2_1_1 = _____acc_42_1_2_1_1.v; + } else { + _____ncell_43_1_2_1_1 = _____cell_43_1_2_1_1; + } + __a_3_1[42] = _____ncell_43_1_2_1_1; + ______split_136_42_1_2_1_1 = _____acc_41_1_2_1_1.i == _____acc_41_1_2_1_1.j; + _____cell_42_1_2_1_1 = __pre_a_2_1[41]; + if (______split_136_42_1_2_1_1 == _true) { + _____ncell_42_1_2_1_1 = _____acc_41_1_2_1_1.v; + } else { + _____ncell_42_1_2_1_1 = _____cell_42_1_2_1_1; + } + __a_3_1[41] = _____ncell_42_1_2_1_1; + ______split_136_41_1_2_1_1 = _____acc_40_1_2_1_1.i == _____acc_40_1_2_1_1.j; + _____cell_41_1_2_1_1 = __pre_a_2_1[40]; + if (______split_136_41_1_2_1_1 == _true) { + _____ncell_41_1_2_1_1 = _____acc_40_1_2_1_1.v; + } else { + _____ncell_41_1_2_1_1 = _____cell_41_1_2_1_1; + } + __a_3_1[40] = _____ncell_41_1_2_1_1; + ______split_136_40_1_2_1_1 = _____acc_39_1_2_1_1.i == _____acc_39_1_2_1_1.j; + _____cell_40_1_2_1_1 = __pre_a_2_1[39]; + if (______split_136_40_1_2_1_1 == _true) { + _____ncell_40_1_2_1_1 = _____acc_39_1_2_1_1.v; + } else { + _____ncell_40_1_2_1_1 = _____cell_40_1_2_1_1; + } + __a_3_1[39] = _____ncell_40_1_2_1_1; + ______split_136_39_1_2_1_1 = _____acc_38_1_2_1_1.i == _____acc_38_1_2_1_1.j; + _____cell_39_1_2_1_1 = __pre_a_2_1[38]; + if (______split_136_39_1_2_1_1 == _true) { + _____ncell_39_1_2_1_1 = _____acc_38_1_2_1_1.v; + } else { + _____ncell_39_1_2_1_1 = _____cell_39_1_2_1_1; + } + __a_3_1[38] = _____ncell_39_1_2_1_1; + ______split_136_38_1_2_1_1 = _____acc_37_1_2_1_1.i == _____acc_37_1_2_1_1.j; + _____cell_38_1_2_1_1 = __pre_a_2_1[37]; + if (______split_136_38_1_2_1_1 == _true) { + _____ncell_38_1_2_1_1 = _____acc_37_1_2_1_1.v; + } else { + _____ncell_38_1_2_1_1 = _____cell_38_1_2_1_1; + } + __a_3_1[37] = _____ncell_38_1_2_1_1; + ______split_136_37_1_2_1_1 = _____acc_36_1_2_1_1.i == _____acc_36_1_2_1_1.j; + _____cell_37_1_2_1_1 = __pre_a_2_1[36]; + if (______split_136_37_1_2_1_1 == _true) { + _____ncell_37_1_2_1_1 = _____acc_36_1_2_1_1.v; + } else { + _____ncell_37_1_2_1_1 = _____cell_37_1_2_1_1; + } + __a_3_1[36] = _____ncell_37_1_2_1_1; + ______split_136_36_1_2_1_1 = _____acc_35_1_2_1_1.i == _____acc_35_1_2_1_1.j; + _____cell_36_1_2_1_1 = __pre_a_2_1[35]; + if (______split_136_36_1_2_1_1 == _true) { + _____ncell_36_1_2_1_1 = _____acc_35_1_2_1_1.v; + } else { + _____ncell_36_1_2_1_1 = _____cell_36_1_2_1_1; + } + __a_3_1[35] = _____ncell_36_1_2_1_1; + ______split_136_35_1_2_1_1 = _____acc_34_1_2_1_1.i == _____acc_34_1_2_1_1.j; + _____cell_35_1_2_1_1 = __pre_a_2_1[34]; + if (______split_136_35_1_2_1_1 == _true) { + _____ncell_35_1_2_1_1 = _____acc_34_1_2_1_1.v; + } else { + _____ncell_35_1_2_1_1 = _____cell_35_1_2_1_1; + } + __a_3_1[34] = _____ncell_35_1_2_1_1; + ______split_136_34_1_2_1_1 = _____acc_33_1_2_1_1.i == _____acc_33_1_2_1_1.j; + _____cell_34_1_2_1_1 = __pre_a_2_1[33]; + if (______split_136_34_1_2_1_1 == _true) { + _____ncell_34_1_2_1_1 = _____acc_33_1_2_1_1.v; + } else { + _____ncell_34_1_2_1_1 = _____cell_34_1_2_1_1; + } + __a_3_1[33] = _____ncell_34_1_2_1_1; + ______split_136_33_1_2_1_1 = _____acc_32_1_2_1_1.i == _____acc_32_1_2_1_1.j; + _____cell_33_1_2_1_1 = __pre_a_2_1[32]; + if (______split_136_33_1_2_1_1 == _true) { + _____ncell_33_1_2_1_1 = _____acc_32_1_2_1_1.v; + } else { + _____ncell_33_1_2_1_1 = _____cell_33_1_2_1_1; + } + __a_3_1[32] = _____ncell_33_1_2_1_1; + ______split_136_32_1_2_1_1 = _____acc_31_1_2_1_1.i == _____acc_31_1_2_1_1.j; + _____cell_32_1_2_1_1 = __pre_a_2_1[31]; + if (______split_136_32_1_2_1_1 == _true) { + _____ncell_32_1_2_1_1 = _____acc_31_1_2_1_1.v; + } else { + _____ncell_32_1_2_1_1 = _____cell_32_1_2_1_1; + } + __a_3_1[31] = _____ncell_32_1_2_1_1; + ______split_136_31_1_2_1_1 = _____acc_30_1_2_1_1.i == _____acc_30_1_2_1_1.j; + _____cell_31_1_2_1_1 = __pre_a_2_1[30]; + if (______split_136_31_1_2_1_1 == _true) { + _____ncell_31_1_2_1_1 = _____acc_30_1_2_1_1.v; + } else { + _____ncell_31_1_2_1_1 = _____cell_31_1_2_1_1; + } + __a_3_1[30] = _____ncell_31_1_2_1_1; + ______split_136_30_1_2_1_1 = _____acc_29_1_2_1_1.i == _____acc_29_1_2_1_1.j; + _____cell_30_1_2_1_1 = __pre_a_2_1[29]; + if (______split_136_30_1_2_1_1 == _true) { + _____ncell_30_1_2_1_1 = _____acc_29_1_2_1_1.v; + } else { + _____ncell_30_1_2_1_1 = _____cell_30_1_2_1_1; + } + __a_3_1[29] = _____ncell_30_1_2_1_1; + ______split_136_29_1_2_1_1 = _____acc_28_1_2_1_1.i == _____acc_28_1_2_1_1.j; + _____cell_29_1_2_1_1 = __pre_a_2_1[28]; + if (______split_136_29_1_2_1_1 == _true) { + _____ncell_29_1_2_1_1 = _____acc_28_1_2_1_1.v; + } else { + _____ncell_29_1_2_1_1 = _____cell_29_1_2_1_1; + } + __a_3_1[28] = _____ncell_29_1_2_1_1; + ______split_136_28_1_2_1_1 = _____acc_27_1_2_1_1.i == _____acc_27_1_2_1_1.j; + _____cell_28_1_2_1_1 = __pre_a_2_1[27]; + if (______split_136_28_1_2_1_1 == _true) { + _____ncell_28_1_2_1_1 = _____acc_27_1_2_1_1.v; + } else { + _____ncell_28_1_2_1_1 = _____cell_28_1_2_1_1; + } + __a_3_1[27] = _____ncell_28_1_2_1_1; + ______split_136_27_1_2_1_1 = _____acc_26_1_2_1_1.i == _____acc_26_1_2_1_1.j; + _____cell_27_1_2_1_1 = __pre_a_2_1[26]; + if (______split_136_27_1_2_1_1 == _true) { + _____ncell_27_1_2_1_1 = _____acc_26_1_2_1_1.v; + } else { + _____ncell_27_1_2_1_1 = _____cell_27_1_2_1_1; + } + __a_3_1[26] = _____ncell_27_1_2_1_1; + ______split_136_26_1_2_1_1 = _____acc_25_1_2_1_1.i == _____acc_25_1_2_1_1.j; + _____cell_26_1_2_1_1 = __pre_a_2_1[25]; + if (______split_136_26_1_2_1_1 == _true) { + _____ncell_26_1_2_1_1 = _____acc_25_1_2_1_1.v; + } else { + _____ncell_26_1_2_1_1 = _____cell_26_1_2_1_1; + } + __a_3_1[25] = _____ncell_26_1_2_1_1; + ______split_136_25_1_2_1_1 = _____acc_24_1_2_1_1.i == _____acc_24_1_2_1_1.j; + _____cell_25_1_2_1_1 = __pre_a_2_1[24]; + if (______split_136_25_1_2_1_1 == _true) { + _____ncell_25_1_2_1_1 = _____acc_24_1_2_1_1.v; + } else { + _____ncell_25_1_2_1_1 = _____cell_25_1_2_1_1; + } + __a_3_1[24] = _____ncell_25_1_2_1_1; + ______split_136_24_1_2_1_1 = _____acc_23_1_2_1_1.i == _____acc_23_1_2_1_1.j; + _____cell_24_1_2_1_1 = __pre_a_2_1[23]; + if (______split_136_24_1_2_1_1 == _true) { + _____ncell_24_1_2_1_1 = _____acc_23_1_2_1_1.v; + } else { + _____ncell_24_1_2_1_1 = _____cell_24_1_2_1_1; + } + __a_3_1[23] = _____ncell_24_1_2_1_1; + ______split_136_23_1_2_1_1 = _____acc_22_1_2_1_1.i == _____acc_22_1_2_1_1.j; + _____cell_23_1_2_1_1 = __pre_a_2_1[22]; + if (______split_136_23_1_2_1_1 == _true) { + _____ncell_23_1_2_1_1 = _____acc_22_1_2_1_1.v; + } else { + _____ncell_23_1_2_1_1 = _____cell_23_1_2_1_1; + } + __a_3_1[22] = _____ncell_23_1_2_1_1; + ______split_136_22_1_2_1_1 = _____acc_21_1_2_1_1.i == _____acc_21_1_2_1_1.j; + _____cell_22_1_2_1_1 = __pre_a_2_1[21]; + if (______split_136_22_1_2_1_1 == _true) { + _____ncell_22_1_2_1_1 = _____acc_21_1_2_1_1.v; + } else { + _____ncell_22_1_2_1_1 = _____cell_22_1_2_1_1; + } + __a_3_1[21] = _____ncell_22_1_2_1_1; + ______split_136_21_1_2_1_1 = _____acc_20_1_2_1_1.i == _____acc_20_1_2_1_1.j; + _____cell_21_1_2_1_1 = __pre_a_2_1[20]; + if (______split_136_21_1_2_1_1 == _true) { + _____ncell_21_1_2_1_1 = _____acc_20_1_2_1_1.v; + } else { + _____ncell_21_1_2_1_1 = _____cell_21_1_2_1_1; + } + __a_3_1[20] = _____ncell_21_1_2_1_1; + ______split_136_20_1_2_1_1 = _____acc_19_1_2_1_1.i == _____acc_19_1_2_1_1.j; + _____cell_20_1_2_1_1 = __pre_a_2_1[19]; + if (______split_136_20_1_2_1_1 == _true) { + _____ncell_20_1_2_1_1 = _____acc_19_1_2_1_1.v; + } else { + _____ncell_20_1_2_1_1 = _____cell_20_1_2_1_1; + } + __a_3_1[19] = _____ncell_20_1_2_1_1; + ______split_136_19_1_2_1_1 = _____acc_18_1_2_1_1.i == _____acc_18_1_2_1_1.j; + _____cell_19_1_2_1_1 = __pre_a_2_1[18]; + if (______split_136_19_1_2_1_1 == _true) { + _____ncell_19_1_2_1_1 = _____acc_18_1_2_1_1.v; + } else { + _____ncell_19_1_2_1_1 = _____cell_19_1_2_1_1; + } + __a_3_1[18] = _____ncell_19_1_2_1_1; + ______split_136_18_1_2_1_1 = _____acc_17_1_2_1_1.i == _____acc_17_1_2_1_1.j; + _____cell_18_1_2_1_1 = __pre_a_2_1[17]; + if (______split_136_18_1_2_1_1 == _true) { + _____ncell_18_1_2_1_1 = _____acc_17_1_2_1_1.v; + } else { + _____ncell_18_1_2_1_1 = _____cell_18_1_2_1_1; + } + __a_3_1[17] = _____ncell_18_1_2_1_1; + ______split_136_17_1_2_1_1 = _____acc_16_1_2_1_1.i == _____acc_16_1_2_1_1.j; + _____cell_17_1_2_1_1 = __pre_a_2_1[16]; + if (______split_136_17_1_2_1_1 == _true) { + _____ncell_17_1_2_1_1 = _____acc_16_1_2_1_1.v; + } else { + _____ncell_17_1_2_1_1 = _____cell_17_1_2_1_1; + } + __a_3_1[16] = _____ncell_17_1_2_1_1; + ______split_136_16_1_2_1_1 = _____acc_15_1_2_1_1.i == _____acc_15_1_2_1_1.j; + _____cell_16_1_2_1_1 = __pre_a_2_1[15]; + if (______split_136_16_1_2_1_1 == _true) { + _____ncell_16_1_2_1_1 = _____acc_15_1_2_1_1.v; + } else { + _____ncell_16_1_2_1_1 = _____cell_16_1_2_1_1; + } + __a_3_1[15] = _____ncell_16_1_2_1_1; + ______split_136_15_1_2_1_1 = _____acc_14_1_2_1_1.i == _____acc_14_1_2_1_1.j; + _____cell_15_1_2_1_1 = __pre_a_2_1[14]; + if (______split_136_15_1_2_1_1 == _true) { + _____ncell_15_1_2_1_1 = _____acc_14_1_2_1_1.v; + } else { + _____ncell_15_1_2_1_1 = _____cell_15_1_2_1_1; + } + __a_3_1[14] = _____ncell_15_1_2_1_1; + ______split_136_14_1_2_1_1 = _____acc_13_1_2_1_1.i == _____acc_13_1_2_1_1.j; + _____cell_14_1_2_1_1 = __pre_a_2_1[13]; + if (______split_136_14_1_2_1_1 == _true) { + _____ncell_14_1_2_1_1 = _____acc_13_1_2_1_1.v; + } else { + _____ncell_14_1_2_1_1 = _____cell_14_1_2_1_1; + } + __a_3_1[13] = _____ncell_14_1_2_1_1; + ______split_136_13_1_2_1_1 = _____acc_12_1_2_1_1.i == _____acc_12_1_2_1_1.j; + _____cell_13_1_2_1_1 = __pre_a_2_1[12]; + if (______split_136_13_1_2_1_1 == _true) { + _____ncell_13_1_2_1_1 = _____acc_12_1_2_1_1.v; + } else { + _____ncell_13_1_2_1_1 = _____cell_13_1_2_1_1; + } + __a_3_1[12] = _____ncell_13_1_2_1_1; + ______split_136_12_1_2_1_1 = _____acc_11_1_2_1_1.i == _____acc_11_1_2_1_1.j; + _____cell_12_1_2_1_1 = __pre_a_2_1[11]; + if (______split_136_12_1_2_1_1 == _true) { + _____ncell_12_1_2_1_1 = _____acc_11_1_2_1_1.v; + } else { + _____ncell_12_1_2_1_1 = _____cell_12_1_2_1_1; + } + __a_3_1[11] = _____ncell_12_1_2_1_1; + ______split_136_11_1_2_1_1 = _____acc_10_1_2_1_1.i == _____acc_10_1_2_1_1.j; + _____cell_11_1_2_1_1 = __pre_a_2_1[10]; + if (______split_136_11_1_2_1_1 == _true) { + _____ncell_11_1_2_1_1 = _____acc_10_1_2_1_1.v; + } else { + _____ncell_11_1_2_1_1 = _____cell_11_1_2_1_1; + } + __a_3_1[10] = _____ncell_11_1_2_1_1; + ______split_136_10_1_2_1_1 = _____acc_9_1_2_1_1.i == _____acc_9_1_2_1_1.j; + _____cell_10_1_2_1_1 = __pre_a_2_1[9]; + if (______split_136_10_1_2_1_1 == _true) { + _____ncell_10_1_2_1_1 = _____acc_9_1_2_1_1.v; + } else { + _____ncell_10_1_2_1_1 = _____cell_10_1_2_1_1; + } + __a_3_1[9] = _____ncell_10_1_2_1_1; + ______split_136_9_1_2_1_1 = _____acc_8_1_2_1_1.i == _____acc_8_1_2_1_1.j; + _____cell_9_1_2_1_1 = __pre_a_2_1[8]; + if (______split_136_9_1_2_1_1 == _true) { + _____ncell_9_1_2_1_1 = _____acc_8_1_2_1_1.v; + } else { + _____ncell_9_1_2_1_1 = _____cell_9_1_2_1_1; + } + __a_3_1[8] = _____ncell_9_1_2_1_1; + ______split_136_8_1_2_1_1 = _____acc_7_1_2_1_1.i == _____acc_7_1_2_1_1.j; + _____cell_8_1_2_1_1 = __pre_a_2_1[7]; + if (______split_136_8_1_2_1_1 == _true) { + _____ncell_8_1_2_1_1 = _____acc_7_1_2_1_1.v; + } else { + _____ncell_8_1_2_1_1 = _____cell_8_1_2_1_1; + } + __a_3_1[7] = _____ncell_8_1_2_1_1; + ______split_136_7_1_2_1_1 = _____acc_6_1_2_1_1.i == _____acc_6_1_2_1_1.j; + _____cell_7_1_2_1_1 = __pre_a_2_1[6]; + if (______split_136_7_1_2_1_1 == _true) { + _____ncell_7_1_2_1_1 = _____acc_6_1_2_1_1.v; + } else { + _____ncell_7_1_2_1_1 = _____cell_7_1_2_1_1; + } + __a_3_1[6] = _____ncell_7_1_2_1_1; + ______split_136_6_1_2_1_1 = _____acc_5_1_2_1_1.i == _____acc_5_1_2_1_1.j; + _____cell_6_1_2_1_1 = __pre_a_2_1[5]; + if (______split_136_6_1_2_1_1 == _true) { + _____ncell_6_1_2_1_1 = _____acc_5_1_2_1_1.v; + } else { + _____ncell_6_1_2_1_1 = _____cell_6_1_2_1_1; + } + __a_3_1[5] = _____ncell_6_1_2_1_1; + ______split_136_5_1_2_1_1 = _____acc_4_1_2_1_1.i == _____acc_4_1_2_1_1.j; + _____cell_5_1_2_1_1 = __pre_a_2_1[4]; + if (______split_136_5_1_2_1_1 == _true) { + _____ncell_5_1_2_1_1 = _____acc_4_1_2_1_1.v; + } else { + _____ncell_5_1_2_1_1 = _____cell_5_1_2_1_1; + } + __a_3_1[4] = _____ncell_5_1_2_1_1; + ______split_136_4_1_2_1_1 = _____acc_3_1_2_1_1.i == _____acc_3_1_2_1_1.j; + _____cell_4_1_2_1_1 = __pre_a_2_1[3]; + if (______split_136_4_1_2_1_1 == _true) { + _____ncell_4_1_2_1_1 = _____acc_3_1_2_1_1.v; + } else { + _____ncell_4_1_2_1_1 = _____cell_4_1_2_1_1; + } + __a_3_1[3] = _____ncell_4_1_2_1_1; + ______split_136_3_1_2_1_1 = _____acc_2_1_2_1_1.i == _____acc_2_1_2_1_1.j; + _____cell_3_1_2_1_1 = __pre_a_2_1[2]; + if (______split_136_3_1_2_1_1 == _true) { + _____ncell_3_1_2_1_1 = _____acc_2_1_2_1_1.v; + } else { + _____ncell_3_1_2_1_1 = _____cell_3_1_2_1_1; + } + __a_3_1[2] = _____ncell_3_1_2_1_1; + ______split_136_2_1_2_1_1 = _____acc_1_1_2_1_1.i == _____acc_1_1_2_1_1.j; + _____cell_2_1_2_1_1 = __pre_a_2_1[1]; + if (______split_136_2_1_2_1_1 == _true) { + _____ncell_2_1_2_1_1 = _____acc_1_1_2_1_1.v; + } else { + _____ncell_2_1_2_1_1 = _____cell_2_1_2_1_1; + } + __a_3_1[1] = _____ncell_2_1_2_1_1; + ______split_136_1_1_2_1_1 = ____split_3_2_1_1.i == ____split_3_2_1_1.j; + _____cell_1_1_2_1_1 = __pre_a_2_1[0]; + if (______split_136_1_1_2_1_1 == _true) { + _____ncell_1_1_2_1_1 = ____split_3_2_1_1.v; + } else { + _____ncell_1_1_2_1_1 = _____cell_1_1_2_1_1; + } + __a_3_1[0] = _____ncell_1_1_2_1_1; + ___i1_2_1_1 = 0.0; + ____acc_50_2_1_1 = ___i1_2_1_1 + __a_3_1[0]; + ____acc_51_2_1_1 = ____acc_50_2_1_1 + __a_3_1[1]; + ____acc_52_2_1_1 = ____acc_51_2_1_1 + __a_3_1[2]; + ____acc_53_2_1_1 = ____acc_52_2_1_1 + __a_3_1[3]; + ____acc_54_2_1_1 = ____acc_53_2_1_1 + __a_3_1[4]; + ____acc_55_2_1_1 = ____acc_54_2_1_1 + __a_3_1[5]; + ____acc_56_2_1_1 = ____acc_55_2_1_1 + __a_3_1[6]; + ____acc_57_2_1_1 = ____acc_56_2_1_1 + __a_3_1[7]; + ____acc_58_2_1_1 = ____acc_57_2_1_1 + __a_3_1[8]; + ____acc_59_2_1_1 = ____acc_58_2_1_1 + __a_3_1[9]; + ____acc_60_2_1_1 = ____acc_59_2_1_1 + __a_3_1[10]; + ____acc_61_2_1_1 = ____acc_60_2_1_1 + __a_3_1[11]; + ____acc_62_2_1_1 = ____acc_61_2_1_1 + __a_3_1[12]; + ____acc_63_2_1_1 = ____acc_62_2_1_1 + __a_3_1[13]; + ____acc_64_2_1_1 = ____acc_63_2_1_1 + __a_3_1[14]; + ____acc_65_2_1_1 = ____acc_64_2_1_1 + __a_3_1[15]; + ____acc_66_2_1_1 = ____acc_65_2_1_1 + __a_3_1[16]; + ____acc_67_2_1_1 = ____acc_66_2_1_1 + __a_3_1[17]; + ____acc_68_2_1_1 = ____acc_67_2_1_1 + __a_3_1[18]; + ____acc_69_2_1_1 = ____acc_68_2_1_1 + __a_3_1[19]; + ____acc_70_2_1_1 = ____acc_69_2_1_1 + __a_3_1[20]; + ____acc_71_2_1_1 = ____acc_70_2_1_1 + __a_3_1[21]; + ____acc_72_2_1_1 = ____acc_71_2_1_1 + __a_3_1[22]; + ____acc_73_2_1_1 = ____acc_72_2_1_1 + __a_3_1[23]; + ____acc_74_2_1_1 = ____acc_73_2_1_1 + __a_3_1[24]; + ____acc_75_2_1_1 = ____acc_74_2_1_1 + __a_3_1[25]; + ____acc_76_2_1_1 = ____acc_75_2_1_1 + __a_3_1[26]; + ____acc_77_2_1_1 = ____acc_76_2_1_1 + __a_3_1[27]; + ____acc_78_2_1_1 = ____acc_77_2_1_1 + __a_3_1[28]; + ____acc_79_2_1_1 = ____acc_78_2_1_1 + __a_3_1[29]; + ____acc_80_2_1_1 = ____acc_79_2_1_1 + __a_3_1[30]; + ____acc_81_2_1_1 = ____acc_80_2_1_1 + __a_3_1[31]; + ____acc_82_2_1_1 = ____acc_81_2_1_1 + __a_3_1[32]; + ____acc_83_2_1_1 = ____acc_82_2_1_1 + __a_3_1[33]; + ____acc_84_2_1_1 = ____acc_83_2_1_1 + __a_3_1[34]; + ____acc_85_2_1_1 = ____acc_84_2_1_1 + __a_3_1[35]; + ____acc_86_2_1_1 = ____acc_85_2_1_1 + __a_3_1[36]; + ____acc_87_2_1_1 = ____acc_86_2_1_1 + __a_3_1[37]; + ____acc_88_2_1_1 = ____acc_87_2_1_1 + __a_3_1[38]; + ____acc_89_2_1_1 = ____acc_88_2_1_1 + __a_3_1[39]; + ____acc_90_2_1_1 = ____acc_89_2_1_1 + __a_3_1[40]; + ____acc_91_2_1_1 = ____acc_90_2_1_1 + __a_3_1[41]; + ____acc_92_2_1_1 = ____acc_91_2_1_1 + __a_3_1[42]; + ____acc_93_2_1_1 = ____acc_92_2_1_1 + __a_3_1[43]; + ____acc_94_2_1_1 = ____acc_93_2_1_1 + __a_3_1[44]; + ____acc_95_2_1_1 = ____acc_94_2_1_1 + __a_3_1[45]; + ____acc_96_2_1_1 = ____acc_95_2_1_1 + __a_3_1[46]; + ____acc_97_2_1_1 = ____acc_96_2_1_1 + __a_3_1[47]; + ____acc_98_2_1_1 = ____acc_97_2_1_1 + __a_3_1[48]; + __split_82_1 = ____acc_98_2_1_1 + __a_3_1[49]; + _d_1 = __split_82_1; + break; +} + Lustre_pre_set(_d_1,&ctx->Lustre_pre_ctx_tab[1]); + Lustre_pre_get(&__split_79_1,&ctx->Lustre_pre_ctx_tab[2]); + __x_13_1 = 0.1; + Lustre_arrow_step(0.0,__split_79_1,&_pt_1,&ctx->Lustre_arrow_ctx_tab[2]); + switch (_TickOrRot_1){ + case _false: + __split_85_1 = _pt_1; + __split_86_1 = __split_85_1; + break; + case _true: + __split_83_1 = _tx_1; + ____split_3_1_1_1.v = __split_83_1; + _____acc_1_1_1_1_1.v = ____split_3_1_1_1.v; + _____acc_2_1_1_1_1.v = _____acc_1_1_1_1_1.v; + _____acc_3_1_1_1_1.v = _____acc_2_1_1_1_1.v; + _____acc_4_1_1_1_1.v = _____acc_3_1_1_1_1.v; + _____acc_5_1_1_1_1.v = _____acc_4_1_1_1_1.v; + _____acc_6_1_1_1_1.v = _____acc_5_1_1_1_1.v; + _____acc_7_1_1_1_1.v = _____acc_6_1_1_1_1.v; + _____acc_8_1_1_1_1.v = _____acc_7_1_1_1_1.v; + _____acc_9_1_1_1_1.v = _____acc_8_1_1_1_1.v; + _____acc_10_1_1_1_1.v = _____acc_9_1_1_1_1.v; + _____acc_11_1_1_1_1.v = _____acc_10_1_1_1_1.v; + _____acc_12_1_1_1_1.v = _____acc_11_1_1_1_1.v; + _____acc_13_1_1_1_1.v = _____acc_12_1_1_1_1.v; + _____acc_14_1_1_1_1.v = _____acc_13_1_1_1_1.v; + _____acc_15_1_1_1_1.v = _____acc_14_1_1_1_1.v; + _____acc_16_1_1_1_1.v = _____acc_15_1_1_1_1.v; + _____acc_17_1_1_1_1.v = _____acc_16_1_1_1_1.v; + _____acc_18_1_1_1_1.v = _____acc_17_1_1_1_1.v; + _____acc_19_1_1_1_1.v = _____acc_18_1_1_1_1.v; + _____acc_20_1_1_1_1.v = _____acc_19_1_1_1_1.v; + _____acc_21_1_1_1_1.v = _____acc_20_1_1_1_1.v; + _____acc_22_1_1_1_1.v = _____acc_21_1_1_1_1.v; + _____acc_23_1_1_1_1.v = _____acc_22_1_1_1_1.v; + _____acc_24_1_1_1_1.v = _____acc_23_1_1_1_1.v; + _____acc_25_1_1_1_1.v = _____acc_24_1_1_1_1.v; + _____acc_26_1_1_1_1.v = _____acc_25_1_1_1_1.v; + _____acc_27_1_1_1_1.v = _____acc_26_1_1_1_1.v; + _____acc_28_1_1_1_1.v = _____acc_27_1_1_1_1.v; + _____acc_29_1_1_1_1.v = _____acc_28_1_1_1_1.v; + _____acc_30_1_1_1_1.v = _____acc_29_1_1_1_1.v; + _____acc_31_1_1_1_1.v = _____acc_30_1_1_1_1.v; + _____acc_32_1_1_1_1.v = _____acc_31_1_1_1_1.v; + _____acc_33_1_1_1_1.v = _____acc_32_1_1_1_1.v; + _____acc_34_1_1_1_1.v = _____acc_33_1_1_1_1.v; + _____acc_35_1_1_1_1.v = _____acc_34_1_1_1_1.v; + _____acc_36_1_1_1_1.v = _____acc_35_1_1_1_1.v; + _____acc_37_1_1_1_1.v = _____acc_36_1_1_1_1.v; + _____acc_38_1_1_1_1.v = _____acc_37_1_1_1_1.v; + _____acc_39_1_1_1_1.v = _____acc_38_1_1_1_1.v; + _____acc_40_1_1_1_1.v = _____acc_39_1_1_1_1.v; + _____acc_41_1_1_1_1.v = _____acc_40_1_1_1_1.v; + _____acc_42_1_1_1_1.v = _____acc_41_1_1_1_1.v; + _____acc_43_1_1_1_1.v = _____acc_42_1_1_1_1.v; + _____acc_44_1_1_1_1.v = _____acc_43_1_1_1_1.v; + _____acc_45_1_1_1_1.v = _____acc_44_1_1_1_1.v; + _____acc_46_1_1_1_1.v = _____acc_45_1_1_1_1.v; + _____acc_47_1_1_1_1.v = _____acc_46_1_1_1_1.v; + _____acc_48_1_1_1_1.v = _____acc_47_1_1_1_1.v; + _____acc_49_1_1_1_1.v = _____acc_48_1_1_1_1.v; + ____split_3_1_1_1.i = 0; + ______split_137_1_1_1_1_1 = ____split_3_1_1_1.i + 1; + _____acc_1_1_1_1_1.i = ______split_137_1_1_1_1_1; + ______split_137_2_1_1_1_1 = _____acc_1_1_1_1_1.i + 1; + _____acc_2_1_1_1_1.i = ______split_137_2_1_1_1_1; + ______split_137_3_1_1_1_1 = _____acc_2_1_1_1_1.i + 1; + _____acc_3_1_1_1_1.i = ______split_137_3_1_1_1_1; + ______split_137_4_1_1_1_1 = _____acc_3_1_1_1_1.i + 1; + _____acc_4_1_1_1_1.i = ______split_137_4_1_1_1_1; + ______split_137_5_1_1_1_1 = _____acc_4_1_1_1_1.i + 1; + _____acc_5_1_1_1_1.i = ______split_137_5_1_1_1_1; + ______split_137_6_1_1_1_1 = _____acc_5_1_1_1_1.i + 1; + _____acc_6_1_1_1_1.i = ______split_137_6_1_1_1_1; + ______split_137_7_1_1_1_1 = _____acc_6_1_1_1_1.i + 1; + _____acc_7_1_1_1_1.i = ______split_137_7_1_1_1_1; + ______split_137_8_1_1_1_1 = _____acc_7_1_1_1_1.i + 1; + _____acc_8_1_1_1_1.i = ______split_137_8_1_1_1_1; + ______split_137_9_1_1_1_1 = _____acc_8_1_1_1_1.i + 1; + _____acc_9_1_1_1_1.i = ______split_137_9_1_1_1_1; + ______split_137_10_1_1_1_1 = _____acc_9_1_1_1_1.i + 1; + _____acc_10_1_1_1_1.i = ______split_137_10_1_1_1_1; + ______split_137_11_1_1_1_1 = _____acc_10_1_1_1_1.i + 1; + _____acc_11_1_1_1_1.i = ______split_137_11_1_1_1_1; + ______split_137_12_1_1_1_1 = _____acc_11_1_1_1_1.i + 1; + _____acc_12_1_1_1_1.i = ______split_137_12_1_1_1_1; + ______split_137_13_1_1_1_1 = _____acc_12_1_1_1_1.i + 1; + _____acc_13_1_1_1_1.i = ______split_137_13_1_1_1_1; + ______split_137_14_1_1_1_1 = _____acc_13_1_1_1_1.i + 1; + _____acc_14_1_1_1_1.i = ______split_137_14_1_1_1_1; + ______split_137_15_1_1_1_1 = _____acc_14_1_1_1_1.i + 1; + _____acc_15_1_1_1_1.i = ______split_137_15_1_1_1_1; + ______split_137_16_1_1_1_1 = _____acc_15_1_1_1_1.i + 1; + _____acc_16_1_1_1_1.i = ______split_137_16_1_1_1_1; + ______split_137_17_1_1_1_1 = _____acc_16_1_1_1_1.i + 1; + _____acc_17_1_1_1_1.i = ______split_137_17_1_1_1_1; + ______split_137_18_1_1_1_1 = _____acc_17_1_1_1_1.i + 1; + _____acc_18_1_1_1_1.i = ______split_137_18_1_1_1_1; + ______split_137_19_1_1_1_1 = _____acc_18_1_1_1_1.i + 1; + _____acc_19_1_1_1_1.i = ______split_137_19_1_1_1_1; + ______split_137_20_1_1_1_1 = _____acc_19_1_1_1_1.i + 1; + _____acc_20_1_1_1_1.i = ______split_137_20_1_1_1_1; + ______split_137_21_1_1_1_1 = _____acc_20_1_1_1_1.i + 1; + _____acc_21_1_1_1_1.i = ______split_137_21_1_1_1_1; + ______split_137_22_1_1_1_1 = _____acc_21_1_1_1_1.i + 1; + _____acc_22_1_1_1_1.i = ______split_137_22_1_1_1_1; + ______split_137_23_1_1_1_1 = _____acc_22_1_1_1_1.i + 1; + _____acc_23_1_1_1_1.i = ______split_137_23_1_1_1_1; + ______split_137_24_1_1_1_1 = _____acc_23_1_1_1_1.i + 1; + _____acc_24_1_1_1_1.i = ______split_137_24_1_1_1_1; + ______split_137_25_1_1_1_1 = _____acc_24_1_1_1_1.i + 1; + _____acc_25_1_1_1_1.i = ______split_137_25_1_1_1_1; + ______split_137_26_1_1_1_1 = _____acc_25_1_1_1_1.i + 1; + _____acc_26_1_1_1_1.i = ______split_137_26_1_1_1_1; + ______split_137_27_1_1_1_1 = _____acc_26_1_1_1_1.i + 1; + _____acc_27_1_1_1_1.i = ______split_137_27_1_1_1_1; + ______split_137_28_1_1_1_1 = _____acc_27_1_1_1_1.i + 1; + _____acc_28_1_1_1_1.i = ______split_137_28_1_1_1_1; + ______split_137_29_1_1_1_1 = _____acc_28_1_1_1_1.i + 1; + _____acc_29_1_1_1_1.i = ______split_137_29_1_1_1_1; + ______split_137_30_1_1_1_1 = _____acc_29_1_1_1_1.i + 1; + _____acc_30_1_1_1_1.i = ______split_137_30_1_1_1_1; + ______split_137_31_1_1_1_1 = _____acc_30_1_1_1_1.i + 1; + _____acc_31_1_1_1_1.i = ______split_137_31_1_1_1_1; + ______split_137_32_1_1_1_1 = _____acc_31_1_1_1_1.i + 1; + _____acc_32_1_1_1_1.i = ______split_137_32_1_1_1_1; + ______split_137_33_1_1_1_1 = _____acc_32_1_1_1_1.i + 1; + _____acc_33_1_1_1_1.i = ______split_137_33_1_1_1_1; + ______split_137_34_1_1_1_1 = _____acc_33_1_1_1_1.i + 1; + _____acc_34_1_1_1_1.i = ______split_137_34_1_1_1_1; + ______split_137_35_1_1_1_1 = _____acc_34_1_1_1_1.i + 1; + _____acc_35_1_1_1_1.i = ______split_137_35_1_1_1_1; + ______split_137_36_1_1_1_1 = _____acc_35_1_1_1_1.i + 1; + _____acc_36_1_1_1_1.i = ______split_137_36_1_1_1_1; + ______split_137_37_1_1_1_1 = _____acc_36_1_1_1_1.i + 1; + _____acc_37_1_1_1_1.i = ______split_137_37_1_1_1_1; + ______split_137_38_1_1_1_1 = _____acc_37_1_1_1_1.i + 1; + _____acc_38_1_1_1_1.i = ______split_137_38_1_1_1_1; + ______split_137_39_1_1_1_1 = _____acc_38_1_1_1_1.i + 1; + _____acc_39_1_1_1_1.i = ______split_137_39_1_1_1_1; + ______split_137_40_1_1_1_1 = _____acc_39_1_1_1_1.i + 1; + _____acc_40_1_1_1_1.i = ______split_137_40_1_1_1_1; + ______split_137_41_1_1_1_1 = _____acc_40_1_1_1_1.i + 1; + _____acc_41_1_1_1_1.i = ______split_137_41_1_1_1_1; + ______split_137_42_1_1_1_1 = _____acc_41_1_1_1_1.i + 1; + _____acc_42_1_1_1_1.i = ______split_137_42_1_1_1_1; + ______split_137_43_1_1_1_1 = _____acc_42_1_1_1_1.i + 1; + _____acc_43_1_1_1_1.i = ______split_137_43_1_1_1_1; + ______split_137_44_1_1_1_1 = _____acc_43_1_1_1_1.i + 1; + _____acc_44_1_1_1_1.i = ______split_137_44_1_1_1_1; + ______split_137_45_1_1_1_1 = _____acc_44_1_1_1_1.i + 1; + _____acc_45_1_1_1_1.i = ______split_137_45_1_1_1_1; + ______split_137_46_1_1_1_1 = _____acc_45_1_1_1_1.i + 1; + _____acc_46_1_1_1_1.i = ______split_137_46_1_1_1_1; + ______split_137_47_1_1_1_1 = _____acc_46_1_1_1_1.i + 1; + _____acc_47_1_1_1_1.i = ______split_137_47_1_1_1_1; + ______split_137_48_1_1_1_1 = _____acc_47_1_1_1_1.i + 1; + _____acc_48_1_1_1_1.i = ______split_137_48_1_1_1_1; + ______split_137_49_1_1_1_1 = _____acc_48_1_1_1_1.i + 1; + _____acc_49_1_1_1_1.i = ______split_137_49_1_1_1_1; + Lustre_pre_2_get(&___split_131_1_1,&ctx->Lustre_pre_2_ctx_tab[2]); + Lustre_arrow_2_step(0,___split_131_1_1,&___split_132_1_1,&ctx->Lustre_arrow_2_ctx_tab[2]); + __i_1_1 = ___split_132_1_1 + 1; + ___split_135_1_1 = __i_1_1 % 50; + ____split_3_1_1_1.j = ___split_135_1_1; + _____acc_1_1_1_1_1.j = ____split_3_1_1_1.j; + _____acc_2_1_1_1_1.j = _____acc_1_1_1_1_1.j; + _____acc_3_1_1_1_1.j = _____acc_2_1_1_1_1.j; + _____acc_4_1_1_1_1.j = _____acc_3_1_1_1_1.j; + _____acc_5_1_1_1_1.j = _____acc_4_1_1_1_1.j; + _____acc_6_1_1_1_1.j = _____acc_5_1_1_1_1.j; + _____acc_7_1_1_1_1.j = _____acc_6_1_1_1_1.j; + _____acc_8_1_1_1_1.j = _____acc_7_1_1_1_1.j; + _____acc_9_1_1_1_1.j = _____acc_8_1_1_1_1.j; + _____acc_10_1_1_1_1.j = _____acc_9_1_1_1_1.j; + _____acc_11_1_1_1_1.j = _____acc_10_1_1_1_1.j; + _____acc_12_1_1_1_1.j = _____acc_11_1_1_1_1.j; + _____acc_13_1_1_1_1.j = _____acc_12_1_1_1_1.j; + _____acc_14_1_1_1_1.j = _____acc_13_1_1_1_1.j; + _____acc_15_1_1_1_1.j = _____acc_14_1_1_1_1.j; + _____acc_16_1_1_1_1.j = _____acc_15_1_1_1_1.j; + _____acc_17_1_1_1_1.j = _____acc_16_1_1_1_1.j; + _____acc_18_1_1_1_1.j = _____acc_17_1_1_1_1.j; + _____acc_19_1_1_1_1.j = _____acc_18_1_1_1_1.j; + _____acc_20_1_1_1_1.j = _____acc_19_1_1_1_1.j; + _____acc_21_1_1_1_1.j = _____acc_20_1_1_1_1.j; + _____acc_22_1_1_1_1.j = _____acc_21_1_1_1_1.j; + _____acc_23_1_1_1_1.j = _____acc_22_1_1_1_1.j; + _____acc_24_1_1_1_1.j = _____acc_23_1_1_1_1.j; + _____acc_25_1_1_1_1.j = _____acc_24_1_1_1_1.j; + _____acc_26_1_1_1_1.j = _____acc_25_1_1_1_1.j; + _____acc_27_1_1_1_1.j = _____acc_26_1_1_1_1.j; + _____acc_28_1_1_1_1.j = _____acc_27_1_1_1_1.j; + _____acc_29_1_1_1_1.j = _____acc_28_1_1_1_1.j; + _____acc_30_1_1_1_1.j = _____acc_29_1_1_1_1.j; + _____acc_31_1_1_1_1.j = _____acc_30_1_1_1_1.j; + _____acc_32_1_1_1_1.j = _____acc_31_1_1_1_1.j; + _____acc_33_1_1_1_1.j = _____acc_32_1_1_1_1.j; + _____acc_34_1_1_1_1.j = _____acc_33_1_1_1_1.j; + _____acc_35_1_1_1_1.j = _____acc_34_1_1_1_1.j; + _____acc_36_1_1_1_1.j = _____acc_35_1_1_1_1.j; + _____acc_37_1_1_1_1.j = _____acc_36_1_1_1_1.j; + _____acc_38_1_1_1_1.j = _____acc_37_1_1_1_1.j; + _____acc_39_1_1_1_1.j = _____acc_38_1_1_1_1.j; + _____acc_40_1_1_1_1.j = _____acc_39_1_1_1_1.j; + _____acc_41_1_1_1_1.j = _____acc_40_1_1_1_1.j; + _____acc_42_1_1_1_1.j = _____acc_41_1_1_1_1.j; + _____acc_43_1_1_1_1.j = _____acc_42_1_1_1_1.j; + _____acc_44_1_1_1_1.j = _____acc_43_1_1_1_1.j; + _____acc_45_1_1_1_1.j = _____acc_44_1_1_1_1.j; + _____acc_46_1_1_1_1.j = _____acc_45_1_1_1_1.j; + _____acc_47_1_1_1_1.j = _____acc_46_1_1_1_1.j; + _____acc_48_1_1_1_1.j = _____acc_47_1_1_1_1.j; + _____acc_49_1_1_1_1.j = _____acc_48_1_1_1_1.j; + ______split_136_50_1_1_1_1 = _____acc_49_1_1_1_1.i == _____acc_49_1_1_1_1.j; + Lustre_pre_3_get(___split_134_1_1,&ctx->Lustre_pre_3_ctx_tab[1]); + Lustre_hat_step(0.1,___split_133_1_1); + Lustre_arrow_3_step(___split_133_1_1,___split_134_1_1,__pre_a_1_1,&ctx->Lustre_arrow_3_ctx_tab[1]); + _____cell_50_1_1_1_1 = __pre_a_1_1[49]; + if (______split_136_50_1_1_1_1 == _true) { + _____ncell_50_1_1_1_1 = _____acc_49_1_1_1_1.v; + } else { + _____ncell_50_1_1_1_1 = _____cell_50_1_1_1_1; + } + __a_2_1[49] = _____ncell_50_1_1_1_1; + ______split_136_49_1_1_1_1 = _____acc_48_1_1_1_1.i == _____acc_48_1_1_1_1.j; + _____cell_49_1_1_1_1 = __pre_a_1_1[48]; + if (______split_136_49_1_1_1_1 == _true) { + _____ncell_49_1_1_1_1 = _____acc_48_1_1_1_1.v; + } else { + _____ncell_49_1_1_1_1 = _____cell_49_1_1_1_1; + } + __a_2_1[48] = _____ncell_49_1_1_1_1; + ______split_136_48_1_1_1_1 = _____acc_47_1_1_1_1.i == _____acc_47_1_1_1_1.j; + _____cell_48_1_1_1_1 = __pre_a_1_1[47]; + if (______split_136_48_1_1_1_1 == _true) { + _____ncell_48_1_1_1_1 = _____acc_47_1_1_1_1.v; + } else { + _____ncell_48_1_1_1_1 = _____cell_48_1_1_1_1; + } + __a_2_1[47] = _____ncell_48_1_1_1_1; + ______split_136_47_1_1_1_1 = _____acc_46_1_1_1_1.i == _____acc_46_1_1_1_1.j; + _____cell_47_1_1_1_1 = __pre_a_1_1[46]; + if (______split_136_47_1_1_1_1 == _true) { + _____ncell_47_1_1_1_1 = _____acc_46_1_1_1_1.v; + } else { + _____ncell_47_1_1_1_1 = _____cell_47_1_1_1_1; + } + __a_2_1[46] = _____ncell_47_1_1_1_1; + ______split_136_46_1_1_1_1 = _____acc_45_1_1_1_1.i == _____acc_45_1_1_1_1.j; + _____cell_46_1_1_1_1 = __pre_a_1_1[45]; + if (______split_136_46_1_1_1_1 == _true) { + _____ncell_46_1_1_1_1 = _____acc_45_1_1_1_1.v; + } else { + _____ncell_46_1_1_1_1 = _____cell_46_1_1_1_1; + } + __a_2_1[45] = _____ncell_46_1_1_1_1; + ______split_136_45_1_1_1_1 = _____acc_44_1_1_1_1.i == _____acc_44_1_1_1_1.j; + _____cell_45_1_1_1_1 = __pre_a_1_1[44]; + if (______split_136_45_1_1_1_1 == _true) { + _____ncell_45_1_1_1_1 = _____acc_44_1_1_1_1.v; + } else { + _____ncell_45_1_1_1_1 = _____cell_45_1_1_1_1; + } + __a_2_1[44] = _____ncell_45_1_1_1_1; + ______split_136_44_1_1_1_1 = _____acc_43_1_1_1_1.i == _____acc_43_1_1_1_1.j; + _____cell_44_1_1_1_1 = __pre_a_1_1[43]; + if (______split_136_44_1_1_1_1 == _true) { + _____ncell_44_1_1_1_1 = _____acc_43_1_1_1_1.v; + } else { + _____ncell_44_1_1_1_1 = _____cell_44_1_1_1_1; + } + __a_2_1[43] = _____ncell_44_1_1_1_1; + ______split_136_43_1_1_1_1 = _____acc_42_1_1_1_1.i == _____acc_42_1_1_1_1.j; + _____cell_43_1_1_1_1 = __pre_a_1_1[42]; + if (______split_136_43_1_1_1_1 == _true) { + _____ncell_43_1_1_1_1 = _____acc_42_1_1_1_1.v; + } else { + _____ncell_43_1_1_1_1 = _____cell_43_1_1_1_1; + } + __a_2_1[42] = _____ncell_43_1_1_1_1; + ______split_136_42_1_1_1_1 = _____acc_41_1_1_1_1.i == _____acc_41_1_1_1_1.j; + _____cell_42_1_1_1_1 = __pre_a_1_1[41]; + if (______split_136_42_1_1_1_1 == _true) { + _____ncell_42_1_1_1_1 = _____acc_41_1_1_1_1.v; + } else { + _____ncell_42_1_1_1_1 = _____cell_42_1_1_1_1; + } + __a_2_1[41] = _____ncell_42_1_1_1_1; + ______split_136_41_1_1_1_1 = _____acc_40_1_1_1_1.i == _____acc_40_1_1_1_1.j; + _____cell_41_1_1_1_1 = __pre_a_1_1[40]; + if (______split_136_41_1_1_1_1 == _true) { + _____ncell_41_1_1_1_1 = _____acc_40_1_1_1_1.v; + } else { + _____ncell_41_1_1_1_1 = _____cell_41_1_1_1_1; + } + __a_2_1[40] = _____ncell_41_1_1_1_1; + ______split_136_40_1_1_1_1 = _____acc_39_1_1_1_1.i == _____acc_39_1_1_1_1.j; + _____cell_40_1_1_1_1 = __pre_a_1_1[39]; + if (______split_136_40_1_1_1_1 == _true) { + _____ncell_40_1_1_1_1 = _____acc_39_1_1_1_1.v; + } else { + _____ncell_40_1_1_1_1 = _____cell_40_1_1_1_1; + } + __a_2_1[39] = _____ncell_40_1_1_1_1; + ______split_136_39_1_1_1_1 = _____acc_38_1_1_1_1.i == _____acc_38_1_1_1_1.j; + _____cell_39_1_1_1_1 = __pre_a_1_1[38]; + if (______split_136_39_1_1_1_1 == _true) { + _____ncell_39_1_1_1_1 = _____acc_38_1_1_1_1.v; + } else { + _____ncell_39_1_1_1_1 = _____cell_39_1_1_1_1; + } + __a_2_1[38] = _____ncell_39_1_1_1_1; + ______split_136_38_1_1_1_1 = _____acc_37_1_1_1_1.i == _____acc_37_1_1_1_1.j; + _____cell_38_1_1_1_1 = __pre_a_1_1[37]; + if (______split_136_38_1_1_1_1 == _true) { + _____ncell_38_1_1_1_1 = _____acc_37_1_1_1_1.v; + } else { + _____ncell_38_1_1_1_1 = _____cell_38_1_1_1_1; + } + __a_2_1[37] = _____ncell_38_1_1_1_1; + ______split_136_37_1_1_1_1 = _____acc_36_1_1_1_1.i == _____acc_36_1_1_1_1.j; + _____cell_37_1_1_1_1 = __pre_a_1_1[36]; + if (______split_136_37_1_1_1_1 == _true) { + _____ncell_37_1_1_1_1 = _____acc_36_1_1_1_1.v; + } else { + _____ncell_37_1_1_1_1 = _____cell_37_1_1_1_1; + } + __a_2_1[36] = _____ncell_37_1_1_1_1; + ______split_136_36_1_1_1_1 = _____acc_35_1_1_1_1.i == _____acc_35_1_1_1_1.j; + _____cell_36_1_1_1_1 = __pre_a_1_1[35]; + if (______split_136_36_1_1_1_1 == _true) { + _____ncell_36_1_1_1_1 = _____acc_35_1_1_1_1.v; + } else { + _____ncell_36_1_1_1_1 = _____cell_36_1_1_1_1; + } + __a_2_1[35] = _____ncell_36_1_1_1_1; + ______split_136_35_1_1_1_1 = _____acc_34_1_1_1_1.i == _____acc_34_1_1_1_1.j; + _____cell_35_1_1_1_1 = __pre_a_1_1[34]; + if (______split_136_35_1_1_1_1 == _true) { + _____ncell_35_1_1_1_1 = _____acc_34_1_1_1_1.v; + } else { + _____ncell_35_1_1_1_1 = _____cell_35_1_1_1_1; + } + __a_2_1[34] = _____ncell_35_1_1_1_1; + ______split_136_34_1_1_1_1 = _____acc_33_1_1_1_1.i == _____acc_33_1_1_1_1.j; + _____cell_34_1_1_1_1 = __pre_a_1_1[33]; + if (______split_136_34_1_1_1_1 == _true) { + _____ncell_34_1_1_1_1 = _____acc_33_1_1_1_1.v; + } else { + _____ncell_34_1_1_1_1 = _____cell_34_1_1_1_1; + } + __a_2_1[33] = _____ncell_34_1_1_1_1; + ______split_136_33_1_1_1_1 = _____acc_32_1_1_1_1.i == _____acc_32_1_1_1_1.j; + _____cell_33_1_1_1_1 = __pre_a_1_1[32]; + if (______split_136_33_1_1_1_1 == _true) { + _____ncell_33_1_1_1_1 = _____acc_32_1_1_1_1.v; + } else { + _____ncell_33_1_1_1_1 = _____cell_33_1_1_1_1; + } + __a_2_1[32] = _____ncell_33_1_1_1_1; + ______split_136_32_1_1_1_1 = _____acc_31_1_1_1_1.i == _____acc_31_1_1_1_1.j; + _____cell_32_1_1_1_1 = __pre_a_1_1[31]; + if (______split_136_32_1_1_1_1 == _true) { + _____ncell_32_1_1_1_1 = _____acc_31_1_1_1_1.v; + } else { + _____ncell_32_1_1_1_1 = _____cell_32_1_1_1_1; + } + __a_2_1[31] = _____ncell_32_1_1_1_1; + ______split_136_31_1_1_1_1 = _____acc_30_1_1_1_1.i == _____acc_30_1_1_1_1.j; + _____cell_31_1_1_1_1 = __pre_a_1_1[30]; + if (______split_136_31_1_1_1_1 == _true) { + _____ncell_31_1_1_1_1 = _____acc_30_1_1_1_1.v; + } else { + _____ncell_31_1_1_1_1 = _____cell_31_1_1_1_1; + } + __a_2_1[30] = _____ncell_31_1_1_1_1; + ______split_136_30_1_1_1_1 = _____acc_29_1_1_1_1.i == _____acc_29_1_1_1_1.j; + _____cell_30_1_1_1_1 = __pre_a_1_1[29]; + if (______split_136_30_1_1_1_1 == _true) { + _____ncell_30_1_1_1_1 = _____acc_29_1_1_1_1.v; + } else { + _____ncell_30_1_1_1_1 = _____cell_30_1_1_1_1; + } + __a_2_1[29] = _____ncell_30_1_1_1_1; + ______split_136_29_1_1_1_1 = _____acc_28_1_1_1_1.i == _____acc_28_1_1_1_1.j; + _____cell_29_1_1_1_1 = __pre_a_1_1[28]; + if (______split_136_29_1_1_1_1 == _true) { + _____ncell_29_1_1_1_1 = _____acc_28_1_1_1_1.v; + } else { + _____ncell_29_1_1_1_1 = _____cell_29_1_1_1_1; + } + __a_2_1[28] = _____ncell_29_1_1_1_1; + ______split_136_28_1_1_1_1 = _____acc_27_1_1_1_1.i == _____acc_27_1_1_1_1.j; + _____cell_28_1_1_1_1 = __pre_a_1_1[27]; + if (______split_136_28_1_1_1_1 == _true) { + _____ncell_28_1_1_1_1 = _____acc_27_1_1_1_1.v; + } else { + _____ncell_28_1_1_1_1 = _____cell_28_1_1_1_1; + } + __a_2_1[27] = _____ncell_28_1_1_1_1; + ______split_136_27_1_1_1_1 = _____acc_26_1_1_1_1.i == _____acc_26_1_1_1_1.j; + _____cell_27_1_1_1_1 = __pre_a_1_1[26]; + if (______split_136_27_1_1_1_1 == _true) { + _____ncell_27_1_1_1_1 = _____acc_26_1_1_1_1.v; + } else { + _____ncell_27_1_1_1_1 = _____cell_27_1_1_1_1; + } + __a_2_1[26] = _____ncell_27_1_1_1_1; + ______split_136_26_1_1_1_1 = _____acc_25_1_1_1_1.i == _____acc_25_1_1_1_1.j; + _____cell_26_1_1_1_1 = __pre_a_1_1[25]; + if (______split_136_26_1_1_1_1 == _true) { + _____ncell_26_1_1_1_1 = _____acc_25_1_1_1_1.v; + } else { + _____ncell_26_1_1_1_1 = _____cell_26_1_1_1_1; + } + __a_2_1[25] = _____ncell_26_1_1_1_1; + ______split_136_25_1_1_1_1 = _____acc_24_1_1_1_1.i == _____acc_24_1_1_1_1.j; + _____cell_25_1_1_1_1 = __pre_a_1_1[24]; + if (______split_136_25_1_1_1_1 == _true) { + _____ncell_25_1_1_1_1 = _____acc_24_1_1_1_1.v; + } else { + _____ncell_25_1_1_1_1 = _____cell_25_1_1_1_1; + } + __a_2_1[24] = _____ncell_25_1_1_1_1; + ______split_136_24_1_1_1_1 = _____acc_23_1_1_1_1.i == _____acc_23_1_1_1_1.j; + _____cell_24_1_1_1_1 = __pre_a_1_1[23]; + if (______split_136_24_1_1_1_1 == _true) { + _____ncell_24_1_1_1_1 = _____acc_23_1_1_1_1.v; + } else { + _____ncell_24_1_1_1_1 = _____cell_24_1_1_1_1; + } + __a_2_1[23] = _____ncell_24_1_1_1_1; + ______split_136_23_1_1_1_1 = _____acc_22_1_1_1_1.i == _____acc_22_1_1_1_1.j; + _____cell_23_1_1_1_1 = __pre_a_1_1[22]; + if (______split_136_23_1_1_1_1 == _true) { + _____ncell_23_1_1_1_1 = _____acc_22_1_1_1_1.v; + } else { + _____ncell_23_1_1_1_1 = _____cell_23_1_1_1_1; + } + __a_2_1[22] = _____ncell_23_1_1_1_1; + ______split_136_22_1_1_1_1 = _____acc_21_1_1_1_1.i == _____acc_21_1_1_1_1.j; + _____cell_22_1_1_1_1 = __pre_a_1_1[21]; + if (______split_136_22_1_1_1_1 == _true) { + _____ncell_22_1_1_1_1 = _____acc_21_1_1_1_1.v; + } else { + _____ncell_22_1_1_1_1 = _____cell_22_1_1_1_1; + } + __a_2_1[21] = _____ncell_22_1_1_1_1; + ______split_136_21_1_1_1_1 = _____acc_20_1_1_1_1.i == _____acc_20_1_1_1_1.j; + _____cell_21_1_1_1_1 = __pre_a_1_1[20]; + if (______split_136_21_1_1_1_1 == _true) { + _____ncell_21_1_1_1_1 = _____acc_20_1_1_1_1.v; + } else { + _____ncell_21_1_1_1_1 = _____cell_21_1_1_1_1; + } + __a_2_1[20] = _____ncell_21_1_1_1_1; + ______split_136_20_1_1_1_1 = _____acc_19_1_1_1_1.i == _____acc_19_1_1_1_1.j; + _____cell_20_1_1_1_1 = __pre_a_1_1[19]; + if (______split_136_20_1_1_1_1 == _true) { + _____ncell_20_1_1_1_1 = _____acc_19_1_1_1_1.v; + } else { + _____ncell_20_1_1_1_1 = _____cell_20_1_1_1_1; + } + __a_2_1[19] = _____ncell_20_1_1_1_1; + ______split_136_19_1_1_1_1 = _____acc_18_1_1_1_1.i == _____acc_18_1_1_1_1.j; + _____cell_19_1_1_1_1 = __pre_a_1_1[18]; + if (______split_136_19_1_1_1_1 == _true) { + _____ncell_19_1_1_1_1 = _____acc_18_1_1_1_1.v; + } else { + _____ncell_19_1_1_1_1 = _____cell_19_1_1_1_1; + } + __a_2_1[18] = _____ncell_19_1_1_1_1; + ______split_136_18_1_1_1_1 = _____acc_17_1_1_1_1.i == _____acc_17_1_1_1_1.j; + _____cell_18_1_1_1_1 = __pre_a_1_1[17]; + if (______split_136_18_1_1_1_1 == _true) { + _____ncell_18_1_1_1_1 = _____acc_17_1_1_1_1.v; + } else { + _____ncell_18_1_1_1_1 = _____cell_18_1_1_1_1; + } + __a_2_1[17] = _____ncell_18_1_1_1_1; + ______split_136_17_1_1_1_1 = _____acc_16_1_1_1_1.i == _____acc_16_1_1_1_1.j; + _____cell_17_1_1_1_1 = __pre_a_1_1[16]; + if (______split_136_17_1_1_1_1 == _true) { + _____ncell_17_1_1_1_1 = _____acc_16_1_1_1_1.v; + } else { + _____ncell_17_1_1_1_1 = _____cell_17_1_1_1_1; + } + __a_2_1[16] = _____ncell_17_1_1_1_1; + ______split_136_16_1_1_1_1 = _____acc_15_1_1_1_1.i == _____acc_15_1_1_1_1.j; + _____cell_16_1_1_1_1 = __pre_a_1_1[15]; + if (______split_136_16_1_1_1_1 == _true) { + _____ncell_16_1_1_1_1 = _____acc_15_1_1_1_1.v; + } else { + _____ncell_16_1_1_1_1 = _____cell_16_1_1_1_1; + } + __a_2_1[15] = _____ncell_16_1_1_1_1; + ______split_136_15_1_1_1_1 = _____acc_14_1_1_1_1.i == _____acc_14_1_1_1_1.j; + _____cell_15_1_1_1_1 = __pre_a_1_1[14]; + if (______split_136_15_1_1_1_1 == _true) { + _____ncell_15_1_1_1_1 = _____acc_14_1_1_1_1.v; + } else { + _____ncell_15_1_1_1_1 = _____cell_15_1_1_1_1; + } + __a_2_1[14] = _____ncell_15_1_1_1_1; + ______split_136_14_1_1_1_1 = _____acc_13_1_1_1_1.i == _____acc_13_1_1_1_1.j; + _____cell_14_1_1_1_1 = __pre_a_1_1[13]; + if (______split_136_14_1_1_1_1 == _true) { + _____ncell_14_1_1_1_1 = _____acc_13_1_1_1_1.v; + } else { + _____ncell_14_1_1_1_1 = _____cell_14_1_1_1_1; + } + __a_2_1[13] = _____ncell_14_1_1_1_1; + ______split_136_13_1_1_1_1 = _____acc_12_1_1_1_1.i == _____acc_12_1_1_1_1.j; + _____cell_13_1_1_1_1 = __pre_a_1_1[12]; + if (______split_136_13_1_1_1_1 == _true) { + _____ncell_13_1_1_1_1 = _____acc_12_1_1_1_1.v; + } else { + _____ncell_13_1_1_1_1 = _____cell_13_1_1_1_1; + } + __a_2_1[12] = _____ncell_13_1_1_1_1; + ______split_136_12_1_1_1_1 = _____acc_11_1_1_1_1.i == _____acc_11_1_1_1_1.j; + _____cell_12_1_1_1_1 = __pre_a_1_1[11]; + if (______split_136_12_1_1_1_1 == _true) { + _____ncell_12_1_1_1_1 = _____acc_11_1_1_1_1.v; + } else { + _____ncell_12_1_1_1_1 = _____cell_12_1_1_1_1; + } + __a_2_1[11] = _____ncell_12_1_1_1_1; + ______split_136_11_1_1_1_1 = _____acc_10_1_1_1_1.i == _____acc_10_1_1_1_1.j; + _____cell_11_1_1_1_1 = __pre_a_1_1[10]; + if (______split_136_11_1_1_1_1 == _true) { + _____ncell_11_1_1_1_1 = _____acc_10_1_1_1_1.v; + } else { + _____ncell_11_1_1_1_1 = _____cell_11_1_1_1_1; + } + __a_2_1[10] = _____ncell_11_1_1_1_1; + ______split_136_10_1_1_1_1 = _____acc_9_1_1_1_1.i == _____acc_9_1_1_1_1.j; + _____cell_10_1_1_1_1 = __pre_a_1_1[9]; + if (______split_136_10_1_1_1_1 == _true) { + _____ncell_10_1_1_1_1 = _____acc_9_1_1_1_1.v; + } else { + _____ncell_10_1_1_1_1 = _____cell_10_1_1_1_1; + } + __a_2_1[9] = _____ncell_10_1_1_1_1; + ______split_136_9_1_1_1_1 = _____acc_8_1_1_1_1.i == _____acc_8_1_1_1_1.j; + _____cell_9_1_1_1_1 = __pre_a_1_1[8]; + if (______split_136_9_1_1_1_1 == _true) { + _____ncell_9_1_1_1_1 = _____acc_8_1_1_1_1.v; + } else { + _____ncell_9_1_1_1_1 = _____cell_9_1_1_1_1; + } + __a_2_1[8] = _____ncell_9_1_1_1_1; + ______split_136_8_1_1_1_1 = _____acc_7_1_1_1_1.i == _____acc_7_1_1_1_1.j; + _____cell_8_1_1_1_1 = __pre_a_1_1[7]; + if (______split_136_8_1_1_1_1 == _true) { + _____ncell_8_1_1_1_1 = _____acc_7_1_1_1_1.v; + } else { + _____ncell_8_1_1_1_1 = _____cell_8_1_1_1_1; + } + __a_2_1[7] = _____ncell_8_1_1_1_1; + ______split_136_7_1_1_1_1 = _____acc_6_1_1_1_1.i == _____acc_6_1_1_1_1.j; + _____cell_7_1_1_1_1 = __pre_a_1_1[6]; + if (______split_136_7_1_1_1_1 == _true) { + _____ncell_7_1_1_1_1 = _____acc_6_1_1_1_1.v; + } else { + _____ncell_7_1_1_1_1 = _____cell_7_1_1_1_1; + } + __a_2_1[6] = _____ncell_7_1_1_1_1; + ______split_136_6_1_1_1_1 = _____acc_5_1_1_1_1.i == _____acc_5_1_1_1_1.j; + _____cell_6_1_1_1_1 = __pre_a_1_1[5]; + if (______split_136_6_1_1_1_1 == _true) { + _____ncell_6_1_1_1_1 = _____acc_5_1_1_1_1.v; + } else { + _____ncell_6_1_1_1_1 = _____cell_6_1_1_1_1; + } + __a_2_1[5] = _____ncell_6_1_1_1_1; + ______split_136_5_1_1_1_1 = _____acc_4_1_1_1_1.i == _____acc_4_1_1_1_1.j; + _____cell_5_1_1_1_1 = __pre_a_1_1[4]; + if (______split_136_5_1_1_1_1 == _true) { + _____ncell_5_1_1_1_1 = _____acc_4_1_1_1_1.v; + } else { + _____ncell_5_1_1_1_1 = _____cell_5_1_1_1_1; + } + __a_2_1[4] = _____ncell_5_1_1_1_1; + ______split_136_4_1_1_1_1 = _____acc_3_1_1_1_1.i == _____acc_3_1_1_1_1.j; + _____cell_4_1_1_1_1 = __pre_a_1_1[3]; + if (______split_136_4_1_1_1_1 == _true) { + _____ncell_4_1_1_1_1 = _____acc_3_1_1_1_1.v; + } else { + _____ncell_4_1_1_1_1 = _____cell_4_1_1_1_1; + } + __a_2_1[3] = _____ncell_4_1_1_1_1; + ______split_136_3_1_1_1_1 = _____acc_2_1_1_1_1.i == _____acc_2_1_1_1_1.j; + _____cell_3_1_1_1_1 = __pre_a_1_1[2]; + if (______split_136_3_1_1_1_1 == _true) { + _____ncell_3_1_1_1_1 = _____acc_2_1_1_1_1.v; + } else { + _____ncell_3_1_1_1_1 = _____cell_3_1_1_1_1; + } + __a_2_1[2] = _____ncell_3_1_1_1_1; + ______split_136_2_1_1_1_1 = _____acc_1_1_1_1_1.i == _____acc_1_1_1_1_1.j; + _____cell_2_1_1_1_1 = __pre_a_1_1[1]; + if (______split_136_2_1_1_1_1 == _true) { + _____ncell_2_1_1_1_1 = _____acc_1_1_1_1_1.v; + } else { + _____ncell_2_1_1_1_1 = _____cell_2_1_1_1_1; + } + __a_2_1[1] = _____ncell_2_1_1_1_1; + ______split_136_1_1_1_1_1 = ____split_3_1_1_1.i == ____split_3_1_1_1.j; + _____cell_1_1_1_1_1 = __pre_a_1_1[0]; + if (______split_136_1_1_1_1_1 == _true) { + _____ncell_1_1_1_1_1 = ____split_3_1_1_1.v; + } else { + _____ncell_1_1_1_1_1 = _____cell_1_1_1_1_1; + } + __a_2_1[0] = _____ncell_1_1_1_1_1; + ___i1_1_1_1 = 0.0; + ____acc_50_1_1_1 = ___i1_1_1_1 + __a_2_1[0]; + ____acc_51_1_1_1 = ____acc_50_1_1_1 + __a_2_1[1]; + ____acc_52_1_1_1 = ____acc_51_1_1_1 + __a_2_1[2]; + ____acc_53_1_1_1 = ____acc_52_1_1_1 + __a_2_1[3]; + ____acc_54_1_1_1 = ____acc_53_1_1_1 + __a_2_1[4]; + ____acc_55_1_1_1 = ____acc_54_1_1_1 + __a_2_1[5]; + ____acc_56_1_1_1 = ____acc_55_1_1_1 + __a_2_1[6]; + ____acc_57_1_1_1 = ____acc_56_1_1_1 + __a_2_1[7]; + ____acc_58_1_1_1 = ____acc_57_1_1_1 + __a_2_1[8]; + ____acc_59_1_1_1 = ____acc_58_1_1_1 + __a_2_1[9]; + ____acc_60_1_1_1 = ____acc_59_1_1_1 + __a_2_1[10]; + ____acc_61_1_1_1 = ____acc_60_1_1_1 + __a_2_1[11]; + ____acc_62_1_1_1 = ____acc_61_1_1_1 + __a_2_1[12]; + ____acc_63_1_1_1 = ____acc_62_1_1_1 + __a_2_1[13]; + ____acc_64_1_1_1 = ____acc_63_1_1_1 + __a_2_1[14]; + ____acc_65_1_1_1 = ____acc_64_1_1_1 + __a_2_1[15]; + ____acc_66_1_1_1 = ____acc_65_1_1_1 + __a_2_1[16]; + ____acc_67_1_1_1 = ____acc_66_1_1_1 + __a_2_1[17]; + ____acc_68_1_1_1 = ____acc_67_1_1_1 + __a_2_1[18]; + ____acc_69_1_1_1 = ____acc_68_1_1_1 + __a_2_1[19]; + ____acc_70_1_1_1 = ____acc_69_1_1_1 + __a_2_1[20]; + ____acc_71_1_1_1 = ____acc_70_1_1_1 + __a_2_1[21]; + ____acc_72_1_1_1 = ____acc_71_1_1_1 + __a_2_1[22]; + ____acc_73_1_1_1 = ____acc_72_1_1_1 + __a_2_1[23]; + ____acc_74_1_1_1 = ____acc_73_1_1_1 + __a_2_1[24]; + ____acc_75_1_1_1 = ____acc_74_1_1_1 + __a_2_1[25]; + ____acc_76_1_1_1 = ____acc_75_1_1_1 + __a_2_1[26]; + ____acc_77_1_1_1 = ____acc_76_1_1_1 + __a_2_1[27]; + ____acc_78_1_1_1 = ____acc_77_1_1_1 + __a_2_1[28]; + ____acc_79_1_1_1 = ____acc_78_1_1_1 + __a_2_1[29]; + ____acc_80_1_1_1 = ____acc_79_1_1_1 + __a_2_1[30]; + ____acc_81_1_1_1 = ____acc_80_1_1_1 + __a_2_1[31]; + ____acc_82_1_1_1 = ____acc_81_1_1_1 + __a_2_1[32]; + ____acc_83_1_1_1 = ____acc_82_1_1_1 + __a_2_1[33]; + ____acc_84_1_1_1 = ____acc_83_1_1_1 + __a_2_1[34]; + ____acc_85_1_1_1 = ____acc_84_1_1_1 + __a_2_1[35]; + ____acc_86_1_1_1 = ____acc_85_1_1_1 + __a_2_1[36]; + ____acc_87_1_1_1 = ____acc_86_1_1_1 + __a_2_1[37]; + ____acc_88_1_1_1 = ____acc_87_1_1_1 + __a_2_1[38]; + ____acc_89_1_1_1 = ____acc_88_1_1_1 + __a_2_1[39]; + ____acc_90_1_1_1 = ____acc_89_1_1_1 + __a_2_1[40]; + ____acc_91_1_1_1 = ____acc_90_1_1_1 + __a_2_1[41]; + ____acc_92_1_1_1 = ____acc_91_1_1_1 + __a_2_1[42]; + ____acc_93_1_1_1 = ____acc_92_1_1_1 + __a_2_1[43]; + ____acc_94_1_1_1 = ____acc_93_1_1_1 + __a_2_1[44]; + ____acc_95_1_1_1 = ____acc_94_1_1_1 + __a_2_1[45]; + ____acc_96_1_1_1 = ____acc_95_1_1_1 + __a_2_1[46]; + ____acc_97_1_1_1 = ____acc_96_1_1_1 + __a_2_1[47]; + ____acc_98_1_1_1 = ____acc_97_1_1_1 + __a_2_1[48]; + __split_84_1 = ____acc_98_1_1_1 + __a_2_1[49]; + __split_86_1 = __split_84_1; + break; +} + ___split_10_2_1 = __x_13_1 < __split_86_1; + if (___split_10_2_1 == _true) { + _t_3 = __split_86_1; + } else { + _t_3 = __x_13_1; + } + Lustre_pre_set(_t_3,&ctx->Lustre_pre_ctx_tab[2]); + switch (_TickOrRot_1){ + case _true: + ______split_137_50_1_2_1_1 = _____acc_49_1_2_1_1.i + 1; + ___dummy_2_1_1.i = ______split_137_50_1_2_1_1; + ___dummy_2_1_1.j = _____acc_49_1_2_1_1.j; + ___dummy_2_1_1.v = _____acc_49_1_2_1_1.v; + Lustre_pre_3_set(__a_3_1,&ctx->Lustre_pre_3_ctx_tab[0]); + Lustre_pre_2_set(__i_2_1,&ctx->Lustre_pre_2_ctx_tab[1]); + ______split_137_50_1_1_1_1 = _____acc_49_1_1_1_1.i + 1; + ___dummy_1_1_1.i = ______split_137_50_1_1_1_1; + ___dummy_1_1_1.j = _____acc_49_1_1_1_1.j; + ___dummy_1_1_1.v = _____acc_49_1_1_1_1.v; + Lustre_pre_3_set(__a_2_1,&ctx->Lustre_pre_3_ctx_tab[1]); + Lustre_pre_2_set(__i_1_1,&ctx->Lustre_pre_2_ctx_tab[2]); + break; +} + Lustre_slash_step(_d_1,_t_3,&__split_87_1); + __split_88_1 = __split_87_1 * 3.6; + Lustre_pre_set(__split_88_1,&ctx->Lustre_pre_ctx_tab[3]); + Lustre_pre_2_get(&__split_18_1,&ctx->Lustre_pre_2_ctx_tab[3]); + Lustre_pre_2_set(_st_2,&ctx->Lustre_pre_2_ctx_tab[3]); + Lustre_arrow_2_step(convertible_locked,__split_18_1,&_pst_3,&ctx->Lustre_arrow_2_ctx_tab[3]); + switch (_st_2){ + case convertible_in_motion: + _Tick_on_in_motion_1 = Tick; + switch (_Tick_on_in_motion_1){ + case _true: + Lustre_pre_get(&___split_33_1_1,&ctx->Lustre_pre_ctx_tab[5]); + Lustre_arrow_step(0.0,___split_33_1_1,&__pRoof_Percent_1_1,&ctx->Lustre_arrow_ctx_tab[5]); + switch (__st_1_1){ + case convertible_slow: + ___split_47_1_1 = __pRoof_Percent_1_1; + ___split_34_1_1 = __pRoof_Percent_1_1; + ___split_35_1_1 = 100.0 - ___split_34_1_1; + Lustre_slash_step(___split_35_1_1,5.0,&___split_36_1_1); + ____presqrt_5_4_1_1 = 1.0; + Lustre_slash_step(___split_36_1_1,____presqrt_5_4_1_1,&_____split_120_1_4_1_1); + _____split_121_1_4_1_1 = ____presqrt_5_4_1_1 + _____split_120_1_4_1_1; + ____sqrt_5_4_1_1 = 0.5 * _____split_121_1_4_1_1; + _____split_118_1_4_1_1 = ____presqrt_5_4_1_1 - ____sqrt_5_4_1_1; + ______split_2_5_1_4_1_1 = - _____split_118_1_4_1_1; + ______split_1_5_1_4_1_1 = _____split_118_1_4_1_1 >= 0.0; + if (______split_1_5_1_4_1_1 == _true) { + _____split_119_1_4_1_1 = _____split_118_1_4_1_1; + } else { + _____split_119_1_4_1_1 = ______split_2_5_1_4_1_1; + } + ____ecart_5_4_1_1 = _____split_119_1_4_1_1 < 0.0005; + switch (____ecart_5_4_1_1){ + case _false: + _____split_123_1_4_1_1 = ____sqrt_5_4_1_1; + _____split_122_1_4_1_1 = ___split_36_1_1; + Lustre_slash_step(_____split_122_1_4_1_1,_____split_123_1_4_1_1,&______split_112_1_1_4_1_1); + ______split_113_1_1_4_1_1 = _____split_123_1_4_1_1 + ______split_112_1_1_4_1_1; + _____sqrt_4_1_4_1_1 = 0.5 * ______split_113_1_1_4_1_1; + ______split_110_1_1_4_1_1 = _____split_123_1_4_1_1 - _____sqrt_4_1_4_1_1; + _______split_2_4_1_1_4_1_1 = - ______split_110_1_1_4_1_1; + _______split_1_4_1_1_4_1_1 = ______split_110_1_1_4_1_1 >= 0.0; + if (_______split_1_4_1_1_4_1_1 == _true) { + ______split_111_1_1_4_1_1 = ______split_110_1_1_4_1_1; + } else { + ______split_111_1_1_4_1_1 = _______split_2_4_1_1_4_1_1; + } + _____ecart_4_1_4_1_1 = ______split_111_1_1_4_1_1 < 0.0005; + switch (_____ecart_4_1_4_1_1){ + case _false: + ______split_115_1_1_4_1_1 = _____sqrt_4_1_4_1_1; + ______split_114_1_1_4_1_1 = _____split_122_1_4_1_1; + Lustre_slash_step(______split_114_1_1_4_1_1,______split_115_1_1_4_1_1,&_______split_104_1_1_1_4_1_1); + _______split_105_1_1_1_4_1_1 = ______split_115_1_1_4_1_1 + _______split_104_1_1_1_4_1_1; + ______sqrt_3_1_1_4_1_1 = 0.5 * _______split_105_1_1_1_4_1_1; + _______split_102_1_1_1_4_1_1 = ______split_115_1_1_4_1_1 - ______sqrt_3_1_1_4_1_1; + ________split_2_3_1_1_1_4_1_1 = - _______split_102_1_1_1_4_1_1; + ________split_1_3_1_1_1_4_1_1 = _______split_102_1_1_1_4_1_1 >= 0.0; + if (________split_1_3_1_1_1_4_1_1 == _true) { + _______split_103_1_1_1_4_1_1 = _______split_102_1_1_1_4_1_1; + } else { + _______split_103_1_1_1_4_1_1 = ________split_2_3_1_1_1_4_1_1; + } + ______ecart_3_1_1_4_1_1 = _______split_103_1_1_1_4_1_1 < 0.0005; + switch (______ecart_3_1_1_4_1_1){ + case _false: + _______split_107_1_1_1_4_1_1 = ______sqrt_3_1_1_4_1_1; + _______split_106_1_1_1_4_1_1 = ______split_114_1_1_4_1_1; + Lustre_slash_step(_______split_106_1_1_1_4_1_1,_______split_107_1_1_1_4_1_1,&________split_96_1_1_1_1_4_1_1); + ________split_97_1_1_1_1_4_1_1 = _______split_107_1_1_1_4_1_1 + ________split_96_1_1_1_1_4_1_1; + _______sqrt_2_1_1_1_4_1_1 = 0.5 * ________split_97_1_1_1_1_4_1_1; + ________split_94_1_1_1_1_4_1_1 = _______split_107_1_1_1_4_1_1 - _______sqrt_2_1_1_1_4_1_1; + _________split_2_2_1_1_1_1_4_1_1 = - ________split_94_1_1_1_1_4_1_1; + _________split_1_2_1_1_1_1_4_1_1 = ________split_94_1_1_1_1_4_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_4_1_1 == _true) { + ________split_95_1_1_1_1_4_1_1 = ________split_94_1_1_1_1_4_1_1; + } else { + ________split_95_1_1_1_1_4_1_1 = _________split_2_2_1_1_1_1_4_1_1; + } + _______ecart_2_1_1_1_4_1_1 = ________split_95_1_1_1_1_4_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_4_1_1){ + case _false: + ________split_98_1_1_1_1_4_1_1 = _______split_106_1_1_1_4_1_1; + ________split_99_1_1_1_1_4_1_1 = _______sqrt_2_1_1_1_4_1_1; + Lustre_slash_step(________split_98_1_1_1_1_4_1_1,________split_99_1_1_1_1_4_1_1,&_________split_92_1_1_1_1_1_4_1_1); + _________split_93_1_1_1_1_1_4_1_1 = ________split_99_1_1_1_1_4_1_1 + _________split_92_1_1_1_1_1_4_1_1; + ________sqrt_1_1_1_1_1_4_1_1 = 0.5 * _________split_93_1_1_1_1_1_4_1_1; + _______split_108_1_1_1_4_1_1 = ________sqrt_1_1_1_1_1_4_1_1; + break; + case _true: + ________split_101_1_1_1_1_4_1_1 = _______sqrt_2_1_1_1_4_1_1; + _______split_108_1_1_1_4_1_1 = ________split_101_1_1_1_1_4_1_1; + break; +} + ______split_116_1_1_4_1_1 = _______split_108_1_1_1_4_1_1; + break; + case _true: + _______split_109_1_1_1_4_1_1 = ______sqrt_3_1_1_4_1_1; + ______split_116_1_1_4_1_1 = _______split_109_1_1_1_4_1_1; + break; +} + _____split_124_1_4_1_1 = ______split_116_1_1_4_1_1; + break; + case _true: + ______split_117_1_1_4_1_1 = _____sqrt_4_1_4_1_1; + _____split_124_1_4_1_1 = ______split_117_1_1_4_1_1; + break; +} + ___split_37_1_1 = _____split_124_1_4_1_1; + break; + case _true: + _____split_125_1_4_1_1 = ____sqrt_5_4_1_1; + ___split_37_1_1 = _____split_125_1_4_1_1; + break; +} + ____presqrt_5_3_1_1 = 1.0; + Lustre_slash_step(___split_37_1_1,____presqrt_5_3_1_1,&_____split_120_1_3_1_1); + _____split_121_1_3_1_1 = ____presqrt_5_3_1_1 + _____split_120_1_3_1_1; + ____sqrt_5_3_1_1 = 0.5 * _____split_121_1_3_1_1; + _____split_118_1_3_1_1 = ____presqrt_5_3_1_1 - ____sqrt_5_3_1_1; + ______split_2_5_1_3_1_1 = - _____split_118_1_3_1_1; + ______split_1_5_1_3_1_1 = _____split_118_1_3_1_1 >= 0.0; + if (______split_1_5_1_3_1_1 == _true) { + _____split_119_1_3_1_1 = _____split_118_1_3_1_1; + } else { + _____split_119_1_3_1_1 = ______split_2_5_1_3_1_1; + } + ____ecart_5_3_1_1 = _____split_119_1_3_1_1 < 0.0005; + switch (____ecart_5_3_1_1){ + case _false: + _____split_123_1_3_1_1 = ____sqrt_5_3_1_1; + _____split_122_1_3_1_1 = ___split_37_1_1; + Lustre_slash_step(_____split_122_1_3_1_1,_____split_123_1_3_1_1,&______split_112_1_1_3_1_1); + ______split_113_1_1_3_1_1 = _____split_123_1_3_1_1 + ______split_112_1_1_3_1_1; + _____sqrt_4_1_3_1_1 = 0.5 * ______split_113_1_1_3_1_1; + ______split_110_1_1_3_1_1 = _____split_123_1_3_1_1 - _____sqrt_4_1_3_1_1; + _______split_2_4_1_1_3_1_1 = - ______split_110_1_1_3_1_1; + _______split_1_4_1_1_3_1_1 = ______split_110_1_1_3_1_1 >= 0.0; + if (_______split_1_4_1_1_3_1_1 == _true) { + ______split_111_1_1_3_1_1 = ______split_110_1_1_3_1_1; + } else { + ______split_111_1_1_3_1_1 = _______split_2_4_1_1_3_1_1; + } + _____ecart_4_1_3_1_1 = ______split_111_1_1_3_1_1 < 0.0005; + switch (_____ecart_4_1_3_1_1){ + case _false: + ______split_115_1_1_3_1_1 = _____sqrt_4_1_3_1_1; + ______split_114_1_1_3_1_1 = _____split_122_1_3_1_1; + Lustre_slash_step(______split_114_1_1_3_1_1,______split_115_1_1_3_1_1,&_______split_104_1_1_1_3_1_1); + _______split_105_1_1_1_3_1_1 = ______split_115_1_1_3_1_1 + _______split_104_1_1_1_3_1_1; + ______sqrt_3_1_1_3_1_1 = 0.5 * _______split_105_1_1_1_3_1_1; + _______split_102_1_1_1_3_1_1 = ______split_115_1_1_3_1_1 - ______sqrt_3_1_1_3_1_1; + ________split_2_3_1_1_1_3_1_1 = - _______split_102_1_1_1_3_1_1; + ________split_1_3_1_1_1_3_1_1 = _______split_102_1_1_1_3_1_1 >= 0.0; + if (________split_1_3_1_1_1_3_1_1 == _true) { + _______split_103_1_1_1_3_1_1 = _______split_102_1_1_1_3_1_1; + } else { + _______split_103_1_1_1_3_1_1 = ________split_2_3_1_1_1_3_1_1; + } + ______ecart_3_1_1_3_1_1 = _______split_103_1_1_1_3_1_1 < 0.0005; + switch (______ecart_3_1_1_3_1_1){ + case _false: + _______split_107_1_1_1_3_1_1 = ______sqrt_3_1_1_3_1_1; + _______split_106_1_1_1_3_1_1 = ______split_114_1_1_3_1_1; + Lustre_slash_step(_______split_106_1_1_1_3_1_1,_______split_107_1_1_1_3_1_1,&________split_96_1_1_1_1_3_1_1); + ________split_97_1_1_1_1_3_1_1 = _______split_107_1_1_1_3_1_1 + ________split_96_1_1_1_1_3_1_1; + _______sqrt_2_1_1_1_3_1_1 = 0.5 * ________split_97_1_1_1_1_3_1_1; + ________split_94_1_1_1_1_3_1_1 = _______split_107_1_1_1_3_1_1 - _______sqrt_2_1_1_1_3_1_1; + _________split_2_2_1_1_1_1_3_1_1 = - ________split_94_1_1_1_1_3_1_1; + _________split_1_2_1_1_1_1_3_1_1 = ________split_94_1_1_1_1_3_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_3_1_1 == _true) { + ________split_95_1_1_1_1_3_1_1 = ________split_94_1_1_1_1_3_1_1; + } else { + ________split_95_1_1_1_1_3_1_1 = _________split_2_2_1_1_1_1_3_1_1; + } + _______ecart_2_1_1_1_3_1_1 = ________split_95_1_1_1_1_3_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_3_1_1){ + case _false: + ________split_98_1_1_1_1_3_1_1 = _______split_106_1_1_1_3_1_1; + ________split_99_1_1_1_1_3_1_1 = _______sqrt_2_1_1_1_3_1_1; + Lustre_slash_step(________split_98_1_1_1_1_3_1_1,________split_99_1_1_1_1_3_1_1,&_________split_92_1_1_1_1_1_3_1_1); + _________split_93_1_1_1_1_1_3_1_1 = ________split_99_1_1_1_1_3_1_1 + _________split_92_1_1_1_1_1_3_1_1; + ________sqrt_1_1_1_1_1_3_1_1 = 0.5 * _________split_93_1_1_1_1_1_3_1_1; + _______split_108_1_1_1_3_1_1 = ________sqrt_1_1_1_1_1_3_1_1; + break; + case _true: + ________split_101_1_1_1_1_3_1_1 = _______sqrt_2_1_1_1_3_1_1; + _______split_108_1_1_1_3_1_1 = ________split_101_1_1_1_1_3_1_1; + break; +} + ______split_116_1_1_3_1_1 = _______split_108_1_1_1_3_1_1; + break; + case _true: + _______split_109_1_1_1_3_1_1 = ______sqrt_3_1_1_3_1_1; + ______split_116_1_1_3_1_1 = _______split_109_1_1_1_3_1_1; + break; +} + _____split_124_1_3_1_1 = ______split_116_1_1_3_1_1; + break; + case _true: + ______split_117_1_1_3_1_1 = _____sqrt_4_1_3_1_1; + _____split_124_1_3_1_1 = ______split_117_1_1_3_1_1; + break; +} + __slow_it_down_1_1 = _____split_124_1_3_1_1; + break; + case _true: + _____split_125_1_3_1_1 = ____sqrt_5_3_1_1; + __slow_it_down_1_1 = _____split_125_1_3_1_1; + break; +} + break; +} + Lustre_slash_step(5.,0.1,&___split_38_1_1); + Lustre_slash_step(100.,___split_38_1_1,&__kh_1_1); + switch (__st_1_1){ + case convertible_slow: + ___split_45_1_1 = __kh_1_1; + ___split_46_1_1 = __slow_it_down_1_1 * ___split_45_1_1; + ___split_48_1_1 = ___split_46_1_1 + ___split_47_1_1; + __Roof_Percent_1_1 = ___split_48_1_1; + break; +} + ___split_43_1_1 = __kh_1_1 + __pRoof_Percent_1_1; + switch (__st_1_1){ + case convertible_fast: + ___split_44_1_1 = ___split_43_1_1; + __Roof_Percent_1_1 = ___split_44_1_1; + ___split_42_1_1 = 10.0; + break; + case convertible_wait: + __Roof_Percent_1_1 = 0.0; + ___split_42_1_1 = 0.0; + break; + case convertible_slow: + ___split_41_1_1 = 10.0 * __slow_it_down_1_1; + ___split_42_1_1 = ___split_41_1_1; + break; +} + __split_25_1 = ___split_42_1_1; + break; +} + Lustre_pre_get(&___split_39_1_1,&ctx->Lustre_pre_ctx_tab[4]); + Lustre_arrow_step(0.0,___split_39_1_1,&__pRoof_Speed_1_1,&ctx->Lustre_arrow_ctx_tab[4]); + switch (_Tick_on_in_motion_1){ + case _false: + ___split_40_1_1 = __pRoof_Speed_1_1; + __split_25_1 = ___split_40_1_1; + break; +} + Lustre_pre_set(__split_25_1,&ctx->Lustre_pre_ctx_tab[4]); + switch (_Tick_on_in_motion_1){ + case _true: + switch (__st_1_1){ + case convertible_slow: + switch (____ecart_5_3_1_1){ + case _false: + switch (_____ecart_4_1_3_1_1){ + case _false: + switch (______ecart_3_1_1_3_1_1){ + case _false: + switch (_______ecart_2_1_1_1_3_1_1){ + case _false: + _________split_90_1_1_1_1_1_3_1_1 = ________split_99_1_1_1_1_3_1_1 - ________sqrt_1_1_1_1_1_3_1_1; + __________split_2_1_1_1_1_1_1_3_1_1 = - _________split_90_1_1_1_1_1_3_1_1; + __________split_1_1_1_1_1_1_1_3_1_1 = _________split_90_1_1_1_1_1_3_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_3_1_1 == _true) { + _________split_91_1_1_1_1_1_3_1_1 = _________split_90_1_1_1_1_1_3_1_1; + } else { + _________split_91_1_1_1_1_1_3_1_1 = __________split_2_1_1_1_1_1_1_3_1_1; + } + ________ecart_1_1_1_1_1_3_1_1 = _________split_91_1_1_1_1_1_3_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + switch (____ecart_5_4_1_1){ + case _false: + switch (_____ecart_4_1_4_1_1){ + case _false: + switch (______ecart_3_1_1_4_1_1){ + case _false: + switch (_______ecart_2_1_1_1_4_1_1){ + case _false: + _________split_90_1_1_1_1_1_4_1_1 = ________split_99_1_1_1_1_4_1_1 - ________sqrt_1_1_1_1_1_4_1_1; + __________split_2_1_1_1_1_1_1_4_1_1 = - _________split_90_1_1_1_1_1_4_1_1; + __________split_1_1_1_1_1_1_1_4_1_1 = _________split_90_1_1_1_1_1_4_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_4_1_1 == _true) { + _________split_91_1_1_1_1_1_4_1_1 = _________split_90_1_1_1_1_1_4_1_1; + } else { + _________split_91_1_1_1_1_1_4_1_1 = __________split_2_1_1_1_1_1_1_4_1_1; + } + ________ecart_1_1_1_1_1_4_1_1 = _________split_91_1_1_1_1_1_4_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + break; +} + Lustre_pre_set(__Roof_Percent_1_1,&ctx->Lustre_pre_ctx_tab[5]); + switch (__pst_2_1){ + case convertible_slow: + ___split_30_1_1 = __pRoof_Percent_1_1; + ___split_31_1_1 = ___split_30_1_1 < 100.0; + if (___split_31_1_1 == _true) { + ___split_32_1_1 = convertible_slow; + } else { + ___split_32_1_1 = convertible_wait; + } + __st_1_1 = ___split_32_1_1; + break; + case convertible_fast: + ___split_27_1_1 = __pRoof_Percent_1_1; + ___split_28_1_1 = ___split_27_1_1 < 85.0; + if (___split_28_1_1 == _true) { + ___split_29_1_1 = convertible_fast; + } else { + ___split_29_1_1 = convertible_slow; + } + __st_1_1 = ___split_29_1_1; + break; + case convertible_wait: + __st_1_1 = convertible_fast; + break; +} + Lustre_pre_2_get(&___split_26_1_1,&ctx->Lustre_pre_2_ctx_tab[4]); + Lustre_arrow_2_step(convertible_wait,___split_26_1_1,&__pst_2_1,&ctx->Lustre_arrow_2_ctx_tab[4]); + Lustre_pre_2_set(__st_1_1,&ctx->Lustre_pre_2_ctx_tab[4]); + break; +} + *Roof_Speed = __split_25_1; + break; + case convertible_locked: + __split_24_1 = 0.0; + *Roof_Speed = __split_24_1; + break; +} + _split_8 = OnOff & Start; + _split_9 = ! _split_8; + +} // End of convertible_main_step + diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h new file mode 100644 index 00000000..4785db8a --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h @@ -0,0 +1,52 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ + +#include +#include + +#include "lustre_types.h" +#include "lustre_consts.h" + +#ifndef _convertible_main_H_FILE +#define _convertible_main_H_FILE +void Lustre_arrow_2_ctx_reset(Lustre_arrow_2_ctx_type* ctx); +void Lustre_arrow_2_ctx_init(Lustre_arrow_2_ctx_type* ctx); +void Lustre_arrow_2_step(_integer ,_integer ,_integer *,Lustre_arrow_2_ctx_type*); + +void Lustre_arrow_ctx_reset(Lustre_arrow_ctx_type* ctx); +void Lustre_arrow_ctx_init(Lustre_arrow_ctx_type* ctx); +void Lustre_arrow_step(_real ,_real ,_real *,Lustre_arrow_ctx_type*); + +void Lustre_arrow_3_ctx_reset(Lustre_arrow_3_ctx_type* ctx); +void Lustre_arrow_3_ctx_init(Lustre_arrow_3_ctx_type* ctx); +void Lustre_arrow_3_step(_real [50],_real [50],_real [50]/*out*/,Lustre_arrow_3_ctx_type*); + +void Lustre_hat_step(_real ,_real [50]/*out*/); + +void Lustre_pre_2_ctx_reset(Lustre_pre_2_ctx_type* ctx); +void Lustre_pre_2_ctx_init(Lustre_pre_2_ctx_type* ctx); +void Lustre_pre_2_get(_integer *,Lustre_pre_2_ctx_type*); + +void Lustre_pre_2_set(_integer ,Lustre_pre_2_ctx_type*); + +void Lustre_pre_ctx_reset(Lustre_pre_ctx_type* ctx); +void Lustre_pre_ctx_init(Lustre_pre_ctx_type* ctx); +void Lustre_pre_get(_real *,Lustre_pre_ctx_type*); + +void Lustre_pre_set(_real ,Lustre_pre_ctx_type*); + +void Lustre_pre_3_ctx_reset(Lustre_pre_3_ctx_type* ctx); +void Lustre_pre_3_ctx_init(Lustre_pre_3_ctx_type* ctx); +void Lustre_pre_3_get(_real [50]/*out*/,Lustre_pre_3_ctx_type*); + +void Lustre_pre_3_set(_real [50],Lustre_pre_3_ctx_type*); + +void Lustre_slash_step(_real ,_real ,_real *); + +void convertible_main_ctx_reset(convertible_main_ctx_type* ctx); +void convertible_main_ctx_init(convertible_main_ctx_type* ctx); +void convertible_main_step(_boolean ,_boolean ,_boolean ,_boolean ,_boolean ,_boolean ,_real ,_boolean *,_boolean *,_real *,_real *,convertible_main_ctx_type*); + +///////////////////////////////////////////////// +#endif diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c new file mode 100644 index 00000000..9646b39f --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c @@ -0,0 +1,86 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2cgc -node main convertible.lus */ +/* on vanoise the 08/05/2019 at 23:54:11 */ + +#include +#include +#include +#include +#include "convertible_main.h" +#include "../clock.h" +#include "../dm_random.c" + +/* MACROS DEFINITIONS ****************/ +#ifndef TT +#define TT "1" +#endif +#ifndef FF +#define FF "0" +#endif +#ifndef BB +#define BB "bottom" +#endif +#ifdef CKCHECK +/* set this macro for testing output clocks */ +#endif + +/* Standard Input procedures **************/ +_boolean _get_bool(char* n){ + return dm_random_uint32() & 1; +} +/* +_integer _get_int(char* n){ + return (_integer) (dm_random_uint32() % 21) - 10; +} +*/ +_real _get_real(char* n){ + return ((_integer) (dm_random_uint32() % 2000001) - 1000000)*1E-6; +} +/* Output procedures **********************/ +void convertible_main_O_n(void* cdata, _integer _V) { +} + +/* Main procedure *************************/ +int main(){ + int _s = 0; + _boolean Start; + _boolean Parked; + _boolean Rot; + _boolean Tick; + _boolean OnOff; + _boolean Done; + _real Dist; + _boolean Danger; + _boolean Locked; + _real Speed; + _real Roof_Speed; + convertible_main_ctx_type ctx_struct; + convertible_main_ctx_type* ctx = &ctx_struct; + convertible_main_ctx_init(ctx); + // printf("#inputs \"Start\":bool \"Parked\":bool \"Rot\":bool \"Tick\":bool \"OnOff\":bool \"Done\":bool \"Dist\":real\n"); + // printf("#outputs \"Danger\":bool \"Locked\":bool \"Speed\":real \"Roof_Speed\":real\n"); + + /* Main loop */ + clock_prepare(); + clock_start(); + + for(int count=0; count<1000; count++){ + ++_s; + Start = _get_bool("Start"); + Parked = _get_bool("Parked"); + Rot = _get_bool("Rot"); + Tick = _get_bool("Tick"); + OnOff = _get_bool("OnOff"); + Done = _get_bool("Done"); + Dist = _get_real("Dist"); + convertible_main_step(Start,Parked,Rot,Tick,OnOff,Done,Dist,&Danger,&Locked,&Speed,&Roof_Speed,ctx); + // printf("%d %d %d %d %d %d %f #outs %d %d %f %f\n",Start,Parked,Rot,Tick,OnOff,Done,Dist,Danger,Locked,Speed,Roof_Speed); + // printf("%d %d %f %f\n",Danger,Locked,Speed,Roof_Speed); + } + + clock_stop(); + print_total_clock(); + + return 0; + +} diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c new file mode 100644 index 00000000..18d80805 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c @@ -0,0 +1,4 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ +#include "lustre_consts.h" \ No newline at end of file diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h new file mode 100644 index 00000000..eaa21a15 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h @@ -0,0 +1,23 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ + +// Constant definitions +#define convertible_anti_col 2 +#define convertible_deg1 1 +#define convertible_fast 1 +#define convertible_in_motion 1 +#define convertible_k 5500.0 +#define convertible_locked 0 +#define convertible_max_roof_speed 10.0 +#define convertible_no_sol 0 +#define convertible_one_sol 2 +#define convertible_period 0.1 +#define convertible_run 1 +#define convertible_size 50 +#define convertible_slow 2 +#define convertible_speed_max 110.0 +#define convertible_stationnary 0 +#define convertible_two_sol 3 +#define convertible_wait 0 +#define convertible_wheel_girth 1.4 diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h new file mode 100644 index 00000000..68127eb6 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h @@ -0,0 +1,83 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ + +#ifndef _SOC2C_PREDEF_TYPES +#define _SOC2C_PREDEF_TYPES +typedef int _boolean; +typedef int _integer; +typedef char* _string; +typedef double _real; +typedef double _double; +typedef float _float; +#define _false 0 +#define _true 1 +#endif +// end of _SOC2C_PREDEF_TYPES +// User typedef +#ifndef _convertible_main_TYPES +#define _convertible_main_TYPES +typedef _integer convertible_eq_case; +typedef _integer convertible_roof_speed_state; +typedef _integer convertible_roof_state; +typedef struct { + _integer i; + _integer j; + _real v; + } convertible_update_acc; +typedef _integer convertible_vehicle_state; +#endif // enf of _convertible_main_TYPES +// Memoryless soc ctx typedef +// Memoryfull soc ctx typedef +/* Lustre_pre_ctx */ +typedef struct { + /*Memory cell*/ + _real _memory ; +} Lustre_pre_ctx_type; + +/* Lustre_arrow_ctx */ +typedef struct { + /*Memory cell*/ + _boolean _memory ; +} Lustre_arrow_ctx_type; + +/* Lustre_pre_2_ctx */ +typedef struct { + /*Memory cell*/ + _integer _memory ; +} Lustre_pre_2_ctx_type; + +/* Lustre_arrow_2_ctx */ +typedef struct { + /*Memory cell*/ + _boolean _memory ; +} Lustre_arrow_2_ctx_type; + +/* Lustre_arrow_3_ctx */ +typedef struct { + /*Memory cell*/ + _boolean _memory ; +} Lustre_arrow_3_ctx_type; + +/* Lustre_pre_3_ctx */ +typedef struct { + /*Memory cell*/ + _real _memory[50] ; +} Lustre_pre_3_ctx_type; + +/* convertible_main_ctx */ +typedef struct { + /*INSTANCES*/ + Lustre_pre_3_ctx_type Lustre_pre_3_ctx_tab[2]; + Lustre_pre_ctx_type Lustre_pre_ctx_tab[6]; + Lustre_pre_2_ctx_type Lustre_pre_2_ctx_tab[5]; + Lustre_arrow_3_ctx_type Lustre_arrow_3_ctx_tab[2]; + Lustre_arrow_ctx_type Lustre_arrow_ctx_tab[6]; + Lustre_arrow_2_ctx_type Lustre_arrow_2_ctx_tab[5]; +} convertible_main_ctx_type; + +// Defining array and extern types assignments + +#ifndef _assign_rp50 +#define _assign_rp50(dest, source, size) memcpy(dest, source, size) +#endif -- cgit From 72becdf730fb3b5ae2502d1eb19b7c61f047728d Mon Sep 17 00:00:00 2001 From: David Monniaux Date: Wed, 15 May 2019 17:13:19 +0200 Subject: remet les trucs dans les bons répertoires MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- .../convertible_main.c | 3319 ++++++++++++++++++++ .../convertible_main.h | 52 + .../convertible_main_loop.c | 86 + .../lustre-convertible-en-2cgc/convertible_main.c | 3319 -------------------- .../lustre-convertible-en-2cgc/convertible_main.h | 52 - .../convertible_main_loop.c | 86 - .../lustre-convertible-en-2cgc/lustre_consts.c | 4 - .../lustre-convertible-en-2cgc/lustre_consts.h | 23 - .../lustre-convertible-en-2cgc/lustre_types.h | 83 - .../lustrev6-convertible-en-2cgc/lustre_consts.c | 4 + .../lustrev6-convertible-en-2cgc/lustre_consts.h | 23 + .../lustrev6-convertible-en-2cgc/lustre_types.h | 83 + 12 files changed, 3567 insertions(+), 3567 deletions(-) create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.c create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.h create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/convertible_main_loop.c delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.c create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.h create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/lustre_types.h (limited to 'test/monniaux/lustrev6-convertible-en-2cgc') diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.c b/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.c new file mode 100644 index 00000000..6a4db4c3 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.c @@ -0,0 +1,3319 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ +#include "convertible_main.h" + +#define DM_INLINE inline + +//// Defining step functions +// Memory initialisation for Lustre_arrow_2_ctx +DM_INLINE void Lustre_arrow_2_ctx_reset(Lustre_arrow_2_ctx_type* ctx){ + int _i; + ctx->_memory = _true; +} + +// Initialisation of the internal structure of Lustre_arrow_2_ctx +DM_INLINE void Lustre_arrow_2_ctx_init(Lustre_arrow_2_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_arrow_2_ctx_reset(ctx); + } +// Step function(s) for Lustre_arrow_2_ctx +DM_INLINE void Lustre_arrow_2_step(_integer i1,_integer i2,_integer *out,Lustre_arrow_2_ctx_type* ctx){ *out = ((ctx->_memory)? i1 : i2); + ctx->_memory = _false; + +} // End of Lustre_arrow_2_step + +// Memory initialisation for Lustre_arrow_ctx +DM_INLINE void Lustre_arrow_ctx_reset(Lustre_arrow_ctx_type* ctx){ + int _i; + ctx->_memory = _true; +} + +// Initialisation of the internal structure of Lustre_arrow_ctx +DM_INLINE void Lustre_arrow_ctx_init(Lustre_arrow_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_arrow_ctx_reset(ctx); + } +// Step function(s) for Lustre_arrow_ctx +DM_INLINE void Lustre_arrow_step(_real i1,_real i2,_real *out,Lustre_arrow_ctx_type* ctx){ *out = ((ctx->_memory)? i1 : i2); + ctx->_memory = _false; + +} // End of Lustre_arrow_step + +// Memory initialisation for Lustre_arrow_3_ctx +DM_INLINE void Lustre_arrow_3_ctx_reset(Lustre_arrow_3_ctx_type* ctx){ + int _i; + ctx->_memory = _true; +} + +// Initialisation of the internal structure of Lustre_arrow_3_ctx +DM_INLINE void Lustre_arrow_3_ctx_init(Lustre_arrow_3_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_arrow_3_ctx_reset(ctx); + } +// Step function(s) for Lustre_arrow_3_ctx +DM_INLINE void Lustre_arrow_3_step(_real i1[50],_real i2[50],_real out[50]/*out*/,Lustre_arrow_3_ctx_type* ctx){ _assign_rp50(out, ((ctx->_memory)? i1 : i2), sizeof(_real [50])); + ctx->_memory = _false; + +} // End of Lustre_arrow_3_step + +// Step function(s) for Lustre_hat_ctx +DM_INLINE void Lustre_hat_step(_real i1,_real out[50]/*out*/){ + out[0] = i1; + out[1] = i1; + out[2] = i1; + out[3] = i1; + out[4] = i1; + out[5] = i1; + out[6] = i1; + out[7] = i1; + out[8] = i1; + out[9] = i1; + out[10] = i1; + out[11] = i1; + out[12] = i1; + out[13] = i1; + out[14] = i1; + out[15] = i1; + out[16] = i1; + out[17] = i1; + out[18] = i1; + out[19] = i1; + out[20] = i1; + out[21] = i1; + out[22] = i1; + out[23] = i1; + out[24] = i1; + out[25] = i1; + out[26] = i1; + out[27] = i1; + out[28] = i1; + out[29] = i1; + out[30] = i1; + out[31] = i1; + out[32] = i1; + out[33] = i1; + out[34] = i1; + out[35] = i1; + out[36] = i1; + out[37] = i1; + out[38] = i1; + out[39] = i1; + out[40] = i1; + out[41] = i1; + out[42] = i1; + out[43] = i1; + out[44] = i1; + out[45] = i1; + out[46] = i1; + out[47] = i1; + out[48] = i1; + out[49] = i1; + +} // End of Lustre_hat_step + +// Memory initialisation for Lustre_pre_2_ctx +DM_INLINE void Lustre_pre_2_ctx_reset(Lustre_pre_2_ctx_type* ctx){ + int _i; + +} + +// Initialisation of the internal structure of Lustre_pre_2_ctx +DM_INLINE void Lustre_pre_2_ctx_init(Lustre_pre_2_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_pre_2_ctx_reset(ctx); + } +// Step function(s) for Lustre_pre_2_ctx +DM_INLINE void Lustre_pre_2_get(_integer *out,Lustre_pre_2_ctx_type* ctx){ + *out = ctx->_memory; + +} // End of Lustre_pre_2_get + +DM_INLINE void Lustre_pre_2_set(_integer i1,Lustre_pre_2_ctx_type* ctx){ + ctx->_memory = i1; + +} // End of Lustre_pre_2_set + +// Memory initialisation for Lustre_pre_ctx +DM_INLINE void Lustre_pre_ctx_reset(Lustre_pre_ctx_type* ctx){ + int _i; + +} + +// Initialisation of the internal structure of Lustre_pre_ctx +DM_INLINE void Lustre_pre_ctx_init(Lustre_pre_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_pre_ctx_reset(ctx); + } +// Step function(s) for Lustre_pre_ctx +DM_INLINE void Lustre_pre_get(_real *out,Lustre_pre_ctx_type* ctx){ + *out = ctx->_memory; + +} // End of Lustre_pre_get + +DM_INLINE void Lustre_pre_set(_real i1,Lustre_pre_ctx_type* ctx){ + ctx->_memory = i1; + +} // End of Lustre_pre_set + +// Memory initialisation for Lustre_pre_3_ctx +DM_INLINE void Lustre_pre_3_ctx_reset(Lustre_pre_3_ctx_type* ctx){ + int _i; + +} + +// Initialisation of the internal structure of Lustre_pre_3_ctx +DM_INLINE void Lustre_pre_3_ctx_init(Lustre_pre_3_ctx_type* ctx){ + // ctx->client_data = cdata; + Lustre_pre_3_ctx_reset(ctx); + } +// Step function(s) for Lustre_pre_3_ctx +DM_INLINE void Lustre_pre_3_get(_real out[50]/*out*/,Lustre_pre_3_ctx_type* ctx){ + _assign_rp50(out, ctx->_memory, sizeof(_real [50])); + +} // End of Lustre_pre_3_get + +DM_INLINE void Lustre_pre_3_set(_real i1[50],Lustre_pre_3_ctx_type* ctx){ + _assign_rp50(ctx->_memory, i1, sizeof(_real [50])); + +} // End of Lustre_pre_3_set + +// Step function(s) for Lustre_slash_ctx +DM_INLINE void Lustre_slash_step(_real i1,_real i2,_real *out){ + *out = (i1 / i2); + +} // End of Lustre_slash_step + +// Memory initialisation for convertible_main_ctx +void convertible_main_ctx_reset(convertible_main_ctx_type* ctx){ + int _i; + + Lustre_pre_3_ctx_reset(&ctx->Lustre_pre_3_ctx_tab[0]); + Lustre_pre_3_ctx_reset(&ctx->Lustre_pre_3_ctx_tab[1]); for (_i=0 ; _i<6 ; _i+=1){ + Lustre_pre_ctx_reset(&ctx->Lustre_pre_ctx_tab[_i]); + } for (_i=0 ; _i<5 ; _i+=1){ + Lustre_pre_2_ctx_reset(&ctx->Lustre_pre_2_ctx_tab[_i]); + } + Lustre_arrow_3_ctx_reset(&ctx->Lustre_arrow_3_ctx_tab[0]); + Lustre_arrow_3_ctx_reset(&ctx->Lustre_arrow_3_ctx_tab[1]); for (_i=0 ; _i<6 ; _i+=1){ + Lustre_arrow_ctx_reset(&ctx->Lustre_arrow_ctx_tab[_i]); + } for (_i=0 ; _i<5 ; _i+=1){ + Lustre_arrow_2_ctx_reset(&ctx->Lustre_arrow_2_ctx_tab[_i]); + } +} + +// Initialisation of the internal structure of convertible_main_ctx +void convertible_main_ctx_init(convertible_main_ctx_type* ctx){ + // ctx->client_data = cdata; + convertible_main_ctx_reset(ctx); + } +// Step function(s) for convertible_main_ctx +void convertible_main_step(_boolean Start,_boolean Parked,_boolean Rot,_boolean Tick,_boolean OnOff,_boolean Done,_real Dist,_boolean *Danger,_boolean *Locked,_real *Speed,_real *Roof_Speed,convertible_main_ctx_type* ctx){ _boolean _Tick_on_in_motion_1; + _integer _st_2; + _integer _pst_3; + _integer __split_18_1; + _boolean __split_19_1; + _boolean __split_20_1; + _integer __split_21_1; + _boolean __split_22_1; + _integer __split_23_1; + _real __split_24_1; + _real __split_25_1; + _real ____presqrt_5_4_1_1; + _boolean ______split_1_5_1_4_1_1; + _real ______split_2_5_1_4_1_1; + _boolean _____ecart_4_1_4_1_1; + _real _____sqrt_4_1_4_1_1; + _real ______split_110_1_1_4_1_1; + _real ______split_111_1_1_4_1_1; + _real ______split_112_1_1_4_1_1; + _real ______split_113_1_1_4_1_1; + _real ______split_114_1_1_4_1_1; + _real ______split_115_1_1_4_1_1; + _real ______split_116_1_1_4_1_1; + _real ______split_117_1_1_4_1_1; + _boolean ________split_1_3_1_1_1_4_1_1; + _real ________split_2_3_1_1_1_4_1_1; + _boolean _______ecart_2_1_1_1_4_1_1; + _real _______sqrt_2_1_1_1_4_1_1; + _real ________split_94_1_1_1_1_4_1_1; + _real ________split_95_1_1_1_1_4_1_1; + _real ________split_96_1_1_1_1_4_1_1; + _real ________split_97_1_1_1_1_4_1_1; + _real ________split_98_1_1_1_1_4_1_1; + _real ________split_99_1_1_1_1_4_1_1; + _real ________split_101_1_1_1_1_4_1_1; + _boolean __________split_1_1_1_1_1_1_1_4_1_1; + _real __________split_2_1_1_1_1_1_1_4_1_1; + _real _________split_93_1_1_1_1_1_4_1_1; + _real _________split_92_1_1_1_1_1_4_1_1; + _real _________split_91_1_1_1_1_1_4_1_1; + _real _________split_90_1_1_1_1_1_4_1_1; + _real ________sqrt_1_1_1_1_1_4_1_1; + _boolean ________ecart_1_1_1_1_1_4_1_1; + _real _________split_2_2_1_1_1_1_4_1_1; + _boolean _________split_1_2_1_1_1_1_4_1_1; + _real _______split_109_1_1_1_4_1_1; + _real _______split_108_1_1_1_4_1_1; + _real _______split_107_1_1_1_4_1_1; + _real _______split_106_1_1_1_4_1_1; + _real _______split_105_1_1_1_4_1_1; + _real _______split_104_1_1_1_4_1_1; + _real _______split_103_1_1_1_4_1_1; + _real _______split_102_1_1_1_4_1_1; + _real ______sqrt_3_1_1_4_1_1; + _boolean ______ecart_3_1_1_4_1_1; + _real _______split_2_4_1_1_4_1_1; + _boolean _______split_1_4_1_1_4_1_1; + _real _____split_125_1_4_1_1; + _real _____split_124_1_4_1_1; + _real _____split_123_1_4_1_1; + _real _____split_122_1_4_1_1; + _real _____split_121_1_4_1_1; + _real _____split_120_1_4_1_1; + _real _____split_119_1_4_1_1; + _real _____split_118_1_4_1_1; + _real ____sqrt_5_4_1_1; + _boolean ____ecart_5_4_1_1; + _real ____presqrt_5_3_1_1; + _boolean ______split_1_5_1_3_1_1; + _real ______split_2_5_1_3_1_1; + _boolean _____ecart_4_1_3_1_1; + _real _____sqrt_4_1_3_1_1; + _real ______split_110_1_1_3_1_1; + _real ______split_111_1_1_3_1_1; + _real ______split_112_1_1_3_1_1; + _real ______split_113_1_1_3_1_1; + _real ______split_114_1_1_3_1_1; + _real ______split_115_1_1_3_1_1; + _real ______split_116_1_1_3_1_1; + _real ______split_117_1_1_3_1_1; + _boolean ________split_1_3_1_1_1_3_1_1; + _real ________split_2_3_1_1_1_3_1_1; + _boolean _______ecart_2_1_1_1_3_1_1; + _real _______sqrt_2_1_1_1_3_1_1; + _real ________split_94_1_1_1_1_3_1_1; + _real ________split_95_1_1_1_1_3_1_1; + _real ________split_96_1_1_1_1_3_1_1; + _real ________split_97_1_1_1_1_3_1_1; + _real ________split_98_1_1_1_1_3_1_1; + _real ________split_99_1_1_1_1_3_1_1; + _real ________split_101_1_1_1_1_3_1_1; + _boolean __________split_1_1_1_1_1_1_1_3_1_1; + _real __________split_2_1_1_1_1_1_1_3_1_1; + _real _________split_93_1_1_1_1_1_3_1_1; + _real _________split_92_1_1_1_1_1_3_1_1; + _real _________split_91_1_1_1_1_1_3_1_1; + _real _________split_90_1_1_1_1_1_3_1_1; + _real ________sqrt_1_1_1_1_1_3_1_1; + _boolean ________ecart_1_1_1_1_1_3_1_1; + _real _________split_2_2_1_1_1_1_3_1_1; + _boolean _________split_1_2_1_1_1_1_3_1_1; + _real _______split_109_1_1_1_3_1_1; + _real _______split_108_1_1_1_3_1_1; + _real _______split_107_1_1_1_3_1_1; + _real _______split_106_1_1_1_3_1_1; + _real _______split_105_1_1_1_3_1_1; + _real _______split_104_1_1_1_3_1_1; + _real _______split_103_1_1_1_3_1_1; + _real _______split_102_1_1_1_3_1_1; + _real ______sqrt_3_1_1_3_1_1; + _boolean ______ecart_3_1_1_3_1_1; + _real _______split_2_4_1_1_3_1_1; + _boolean _______split_1_4_1_1_3_1_1; + _real _____split_125_1_3_1_1; + _real _____split_124_1_3_1_1; + _real _____split_123_1_3_1_1; + _real _____split_122_1_3_1_1; + _real _____split_121_1_3_1_1; + _real _____split_120_1_3_1_1; + _real _____split_119_1_3_1_1; + _real _____split_118_1_3_1_1; + _real ____sqrt_5_3_1_1; + _boolean ____ecart_5_3_1_1; + _real ___split_48_1_1; + _real ___split_47_1_1; + _real ___split_46_1_1; + _real ___split_45_1_1; + _real ___split_44_1_1; + _real ___split_43_1_1; + _real ___split_42_1_1; + _real ___split_41_1_1; + _real ___split_40_1_1; + _real ___split_39_1_1; + _real ___split_38_1_1; + _real ___split_37_1_1; + _real ___split_36_1_1; + _real ___split_35_1_1; + _real ___split_34_1_1; + _real ___split_33_1_1; + _integer ___split_32_1_1; + _boolean ___split_31_1_1; + _real ___split_30_1_1; + _integer ___split_29_1_1; + _boolean ___split_28_1_1; + _real ___split_27_1_1; + _integer ___split_26_1_1; + _integer __pst_2_1; + _integer __st_1_1; + _real __kh_1_1; + _real __Roof_Percent_1_1; + _real __pRoof_Percent_1_1; + _real __slow_it_down_1_1; + _real __pRoof_Speed_1_1; + _boolean _TickOrRot_1; + _real _tx_1; + _real _dx_1; + _real _pt_1; + _real _pd_1; + _real _t_3; + _real _d_1; + _real __split_78_1; + _real __split_79_1; + _real __split_80_1; + _real __split_81_1; + _real __split_82_1; + _real __split_83_1; + _real __split_84_1; + _real __split_85_1; + _real __split_86_1; + _real __split_87_1; + _real __split_88_1; + _real __split_89_1; + _real __x_13_1; + _boolean ___split_10_2_1; + convertible_update_acc ___dummy_1_1_1; + convertible_update_acc ____split_3_1_1_1; + _boolean ______split_136_50_1_1_1_1; + _integer ______split_137_50_1_1_1_1; + _real _____cell_50_1_1_1_1; + _real _____ncell_50_1_1_1_1; + _boolean ______split_136_49_1_1_1_1; + _integer ______split_137_49_1_1_1_1; + _real _____cell_49_1_1_1_1; + _real _____ncell_49_1_1_1_1; + _boolean ______split_136_48_1_1_1_1; + _integer ______split_137_48_1_1_1_1; + _real _____cell_48_1_1_1_1; + _real _____ncell_48_1_1_1_1; + _boolean ______split_136_47_1_1_1_1; + _integer ______split_137_47_1_1_1_1; + _real _____cell_47_1_1_1_1; + _real _____ncell_47_1_1_1_1; + _boolean ______split_136_46_1_1_1_1; + _integer ______split_137_46_1_1_1_1; + _real _____cell_46_1_1_1_1; + _real _____ncell_46_1_1_1_1; + _boolean ______split_136_45_1_1_1_1; + _integer ______split_137_45_1_1_1_1; + _real _____cell_45_1_1_1_1; + _real _____ncell_45_1_1_1_1; + _boolean ______split_136_44_1_1_1_1; + _integer ______split_137_44_1_1_1_1; + _real _____cell_44_1_1_1_1; + _real _____ncell_44_1_1_1_1; + _boolean ______split_136_43_1_1_1_1; + _integer ______split_137_43_1_1_1_1; + _real _____cell_43_1_1_1_1; + _real _____ncell_43_1_1_1_1; + _boolean ______split_136_42_1_1_1_1; + _integer ______split_137_42_1_1_1_1; + _real _____cell_42_1_1_1_1; + _real _____ncell_42_1_1_1_1; + _boolean ______split_136_41_1_1_1_1; + _integer ______split_137_41_1_1_1_1; + _real _____cell_41_1_1_1_1; + _real _____ncell_41_1_1_1_1; + _boolean ______split_136_40_1_1_1_1; + _integer ______split_137_40_1_1_1_1; + _real _____cell_40_1_1_1_1; + _real _____ncell_40_1_1_1_1; + _boolean ______split_136_39_1_1_1_1; + _integer ______split_137_39_1_1_1_1; + _real _____cell_39_1_1_1_1; + _real _____ncell_39_1_1_1_1; + _boolean ______split_136_38_1_1_1_1; + _integer ______split_137_38_1_1_1_1; + _real _____cell_38_1_1_1_1; + _real _____ncell_38_1_1_1_1; + _boolean ______split_136_37_1_1_1_1; + _integer ______split_137_37_1_1_1_1; + _real _____cell_37_1_1_1_1; + _real _____ncell_37_1_1_1_1; + _boolean ______split_136_36_1_1_1_1; + _integer ______split_137_36_1_1_1_1; + _real _____cell_36_1_1_1_1; + _real _____ncell_36_1_1_1_1; + _boolean ______split_136_35_1_1_1_1; + _integer ______split_137_35_1_1_1_1; + _real _____cell_35_1_1_1_1; + _real _____ncell_35_1_1_1_1; + _boolean ______split_136_34_1_1_1_1; + _integer ______split_137_34_1_1_1_1; + _real _____cell_34_1_1_1_1; + _real _____ncell_34_1_1_1_1; + _boolean ______split_136_33_1_1_1_1; + _integer ______split_137_33_1_1_1_1; + _real _____cell_33_1_1_1_1; + _real _____ncell_33_1_1_1_1; + _boolean ______split_136_32_1_1_1_1; + _integer ______split_137_32_1_1_1_1; + _real _____cell_32_1_1_1_1; + _real _____ncell_32_1_1_1_1; + _boolean ______split_136_31_1_1_1_1; + _integer ______split_137_31_1_1_1_1; + _real _____cell_31_1_1_1_1; + _real _____ncell_31_1_1_1_1; + _boolean ______split_136_30_1_1_1_1; + _integer ______split_137_30_1_1_1_1; + _real _____cell_30_1_1_1_1; + _real _____ncell_30_1_1_1_1; + _boolean ______split_136_29_1_1_1_1; + _integer ______split_137_29_1_1_1_1; + _real _____cell_29_1_1_1_1; + _real _____ncell_29_1_1_1_1; + _boolean ______split_136_28_1_1_1_1; + _integer ______split_137_28_1_1_1_1; + _real _____cell_28_1_1_1_1; + _real _____ncell_28_1_1_1_1; + _boolean ______split_136_27_1_1_1_1; + _integer ______split_137_27_1_1_1_1; + _real _____cell_27_1_1_1_1; + _real _____ncell_27_1_1_1_1; + _boolean ______split_136_26_1_1_1_1; + _integer ______split_137_26_1_1_1_1; + _real _____cell_26_1_1_1_1; + _real _____ncell_26_1_1_1_1; + _boolean ______split_136_25_1_1_1_1; + _integer ______split_137_25_1_1_1_1; + _real _____cell_25_1_1_1_1; + _real _____ncell_25_1_1_1_1; + _boolean ______split_136_24_1_1_1_1; + _integer ______split_137_24_1_1_1_1; + _real _____cell_24_1_1_1_1; + _real _____ncell_24_1_1_1_1; + _boolean ______split_136_23_1_1_1_1; + _integer ______split_137_23_1_1_1_1; + _real _____cell_23_1_1_1_1; + _real _____ncell_23_1_1_1_1; + _boolean ______split_136_22_1_1_1_1; + _integer ______split_137_22_1_1_1_1; + _real _____cell_22_1_1_1_1; + _real _____ncell_22_1_1_1_1; + _boolean ______split_136_21_1_1_1_1; + _integer ______split_137_21_1_1_1_1; + _real _____cell_21_1_1_1_1; + _real _____ncell_21_1_1_1_1; + _boolean ______split_136_20_1_1_1_1; + _integer ______split_137_20_1_1_1_1; + _real _____cell_20_1_1_1_1; + _real _____ncell_20_1_1_1_1; + _boolean ______split_136_19_1_1_1_1; + _integer ______split_137_19_1_1_1_1; + _real _____cell_19_1_1_1_1; + _real _____ncell_19_1_1_1_1; + _boolean ______split_136_18_1_1_1_1; + _integer ______split_137_18_1_1_1_1; + _real _____cell_18_1_1_1_1; + _real _____ncell_18_1_1_1_1; + _boolean ______split_136_17_1_1_1_1; + _integer ______split_137_17_1_1_1_1; + _real _____cell_17_1_1_1_1; + _real _____ncell_17_1_1_1_1; + _boolean ______split_136_16_1_1_1_1; + _integer ______split_137_16_1_1_1_1; + _real _____cell_16_1_1_1_1; + _real _____ncell_16_1_1_1_1; + _boolean ______split_136_15_1_1_1_1; + _integer ______split_137_15_1_1_1_1; + _real _____cell_15_1_1_1_1; + _real _____ncell_15_1_1_1_1; + _boolean ______split_136_14_1_1_1_1; + _integer ______split_137_14_1_1_1_1; + _real _____cell_14_1_1_1_1; + _real _____ncell_14_1_1_1_1; + _boolean ______split_136_13_1_1_1_1; + _integer ______split_137_13_1_1_1_1; + _real _____cell_13_1_1_1_1; + _real _____ncell_13_1_1_1_1; + _boolean ______split_136_12_1_1_1_1; + _integer ______split_137_12_1_1_1_1; + _real _____cell_12_1_1_1_1; + _real _____ncell_12_1_1_1_1; + _boolean ______split_136_11_1_1_1_1; + _integer ______split_137_11_1_1_1_1; + _real _____cell_11_1_1_1_1; + _real _____ncell_11_1_1_1_1; + _boolean ______split_136_10_1_1_1_1; + _integer ______split_137_10_1_1_1_1; + _real _____cell_10_1_1_1_1; + _real _____ncell_10_1_1_1_1; + _boolean ______split_136_9_1_1_1_1; + _integer ______split_137_9_1_1_1_1; + _real _____cell_9_1_1_1_1; + _real _____ncell_9_1_1_1_1; + _boolean ______split_136_8_1_1_1_1; + _integer ______split_137_8_1_1_1_1; + _real _____cell_8_1_1_1_1; + _real _____ncell_8_1_1_1_1; + _boolean ______split_136_7_1_1_1_1; + _integer ______split_137_7_1_1_1_1; + _real _____cell_7_1_1_1_1; + _real _____ncell_7_1_1_1_1; + _boolean ______split_136_6_1_1_1_1; + _integer ______split_137_6_1_1_1_1; + _real _____cell_6_1_1_1_1; + _real _____ncell_6_1_1_1_1; + _boolean ______split_136_5_1_1_1_1; + _integer ______split_137_5_1_1_1_1; + _real _____cell_5_1_1_1_1; + _real _____ncell_5_1_1_1_1; + _boolean ______split_136_4_1_1_1_1; + _integer ______split_137_4_1_1_1_1; + _real _____cell_4_1_1_1_1; + _real _____ncell_4_1_1_1_1; + _boolean ______split_136_3_1_1_1_1; + _integer ______split_137_3_1_1_1_1; + _real _____cell_3_1_1_1_1; + _real _____ncell_3_1_1_1_1; + _boolean ______split_136_2_1_1_1_1; + _integer ______split_137_2_1_1_1_1; + _real _____cell_2_1_1_1_1; + _real _____ncell_2_1_1_1_1; + _boolean ______split_136_1_1_1_1_1; + _integer ______split_137_1_1_1_1_1; + _real _____cell_1_1_1_1_1; + _real _____ncell_1_1_1_1_1; + convertible_update_acc _____acc_1_1_1_1_1; + convertible_update_acc _____acc_2_1_1_1_1; + convertible_update_acc _____acc_3_1_1_1_1; + convertible_update_acc _____acc_4_1_1_1_1; + convertible_update_acc _____acc_5_1_1_1_1; + convertible_update_acc _____acc_6_1_1_1_1; + convertible_update_acc _____acc_7_1_1_1_1; + convertible_update_acc _____acc_8_1_1_1_1; + convertible_update_acc _____acc_9_1_1_1_1; + convertible_update_acc _____acc_10_1_1_1_1; + convertible_update_acc _____acc_11_1_1_1_1; + convertible_update_acc _____acc_12_1_1_1_1; + convertible_update_acc _____acc_13_1_1_1_1; + convertible_update_acc _____acc_14_1_1_1_1; + convertible_update_acc _____acc_15_1_1_1_1; + convertible_update_acc _____acc_16_1_1_1_1; + convertible_update_acc _____acc_17_1_1_1_1; + convertible_update_acc _____acc_18_1_1_1_1; + convertible_update_acc _____acc_19_1_1_1_1; + convertible_update_acc _____acc_20_1_1_1_1; + convertible_update_acc _____acc_21_1_1_1_1; + convertible_update_acc _____acc_22_1_1_1_1; + convertible_update_acc _____acc_23_1_1_1_1; + convertible_update_acc _____acc_24_1_1_1_1; + convertible_update_acc _____acc_25_1_1_1_1; + convertible_update_acc _____acc_26_1_1_1_1; + convertible_update_acc _____acc_27_1_1_1_1; + convertible_update_acc _____acc_28_1_1_1_1; + convertible_update_acc _____acc_29_1_1_1_1; + convertible_update_acc _____acc_30_1_1_1_1; + convertible_update_acc _____acc_31_1_1_1_1; + convertible_update_acc _____acc_32_1_1_1_1; + convertible_update_acc _____acc_33_1_1_1_1; + convertible_update_acc _____acc_34_1_1_1_1; + convertible_update_acc _____acc_35_1_1_1_1; + convertible_update_acc _____acc_36_1_1_1_1; + convertible_update_acc _____acc_37_1_1_1_1; + convertible_update_acc _____acc_38_1_1_1_1; + convertible_update_acc _____acc_39_1_1_1_1; + convertible_update_acc _____acc_40_1_1_1_1; + convertible_update_acc _____acc_41_1_1_1_1; + convertible_update_acc _____acc_42_1_1_1_1; + convertible_update_acc _____acc_43_1_1_1_1; + convertible_update_acc _____acc_44_1_1_1_1; + convertible_update_acc _____acc_45_1_1_1_1; + convertible_update_acc _____acc_46_1_1_1_1; + convertible_update_acc _____acc_47_1_1_1_1; + convertible_update_acc _____acc_48_1_1_1_1; + convertible_update_acc _____acc_49_1_1_1_1; + _real ____acc_98_1_1_1; + _real ____acc_97_1_1_1; + _real ____acc_96_1_1_1; + _real ____acc_95_1_1_1; + _real ____acc_94_1_1_1; + _real ____acc_93_1_1_1; + _real ____acc_92_1_1_1; + _real ____acc_91_1_1_1; + _real ____acc_90_1_1_1; + _real ____acc_89_1_1_1; + _real ____acc_88_1_1_1; + _real ____acc_87_1_1_1; + _real ____acc_86_1_1_1; + _real ____acc_85_1_1_1; + _real ____acc_84_1_1_1; + _real ____acc_83_1_1_1; + _real ____acc_82_1_1_1; + _real ____acc_81_1_1_1; + _real ____acc_80_1_1_1; + _real ____acc_79_1_1_1; + _real ____acc_78_1_1_1; + _real ____acc_77_1_1_1; + _real ____acc_76_1_1_1; + _real ____acc_75_1_1_1; + _real ____acc_74_1_1_1; + _real ____acc_73_1_1_1; + _real ____acc_72_1_1_1; + _real ____acc_71_1_1_1; + _real ____acc_70_1_1_1; + _real ____acc_69_1_1_1; + _real ____acc_68_1_1_1; + _real ____acc_67_1_1_1; + _real ____acc_66_1_1_1; + _real ____acc_65_1_1_1; + _real ____acc_64_1_1_1; + _real ____acc_63_1_1_1; + _real ____acc_62_1_1_1; + _real ____acc_61_1_1_1; + _real ____acc_60_1_1_1; + _real ____acc_59_1_1_1; + _real ____acc_58_1_1_1; + _real ____acc_57_1_1_1; + _real ____acc_56_1_1_1; + _real ____acc_55_1_1_1; + _real ____acc_54_1_1_1; + _real ____acc_53_1_1_1; + _real ____acc_52_1_1_1; + _real ____acc_51_1_1_1; + _real ____acc_50_1_1_1; + _real ___i1_1_1_1; + _integer ___split_135_1_1; + _real ___split_134_1_1[50]; + _real ___split_133_1_1[50]; + _integer ___split_132_1_1; + _integer ___split_131_1_1; + _real __a_2_1[50]; + _real __pre_a_1_1[50]; + _integer __i_1_1; + convertible_update_acc ___dummy_2_1_1; + convertible_update_acc ____split_3_2_1_1; + _boolean ______split_136_50_1_2_1_1; + _integer ______split_137_50_1_2_1_1; + _real _____cell_50_1_2_1_1; + _real _____ncell_50_1_2_1_1; + _boolean ______split_136_49_1_2_1_1; + _integer ______split_137_49_1_2_1_1; + _real _____cell_49_1_2_1_1; + _real _____ncell_49_1_2_1_1; + _boolean ______split_136_48_1_2_1_1; + _integer ______split_137_48_1_2_1_1; + _real _____cell_48_1_2_1_1; + _real _____ncell_48_1_2_1_1; + _boolean ______split_136_47_1_2_1_1; + _integer ______split_137_47_1_2_1_1; + _real _____cell_47_1_2_1_1; + _real _____ncell_47_1_2_1_1; + _boolean ______split_136_46_1_2_1_1; + _integer ______split_137_46_1_2_1_1; + _real _____cell_46_1_2_1_1; + _real _____ncell_46_1_2_1_1; + _boolean ______split_136_45_1_2_1_1; + _integer ______split_137_45_1_2_1_1; + _real _____cell_45_1_2_1_1; + _real _____ncell_45_1_2_1_1; + _boolean ______split_136_44_1_2_1_1; + _integer ______split_137_44_1_2_1_1; + _real _____cell_44_1_2_1_1; + _real _____ncell_44_1_2_1_1; + _boolean ______split_136_43_1_2_1_1; + _integer ______split_137_43_1_2_1_1; + _real _____cell_43_1_2_1_1; + _real _____ncell_43_1_2_1_1; + _boolean ______split_136_42_1_2_1_1; + _integer ______split_137_42_1_2_1_1; + _real _____cell_42_1_2_1_1; + _real _____ncell_42_1_2_1_1; + _boolean ______split_136_41_1_2_1_1; + _integer ______split_137_41_1_2_1_1; + _real _____cell_41_1_2_1_1; + _real _____ncell_41_1_2_1_1; + _boolean ______split_136_40_1_2_1_1; + _integer ______split_137_40_1_2_1_1; + _real _____cell_40_1_2_1_1; + _real _____ncell_40_1_2_1_1; + _boolean ______split_136_39_1_2_1_1; + _integer ______split_137_39_1_2_1_1; + _real _____cell_39_1_2_1_1; + _real _____ncell_39_1_2_1_1; + _boolean ______split_136_38_1_2_1_1; + _integer ______split_137_38_1_2_1_1; + _real _____cell_38_1_2_1_1; + _real _____ncell_38_1_2_1_1; + _boolean ______split_136_37_1_2_1_1; + _integer ______split_137_37_1_2_1_1; + _real _____cell_37_1_2_1_1; + _real _____ncell_37_1_2_1_1; + _boolean ______split_136_36_1_2_1_1; + _integer ______split_137_36_1_2_1_1; + _real _____cell_36_1_2_1_1; + _real _____ncell_36_1_2_1_1; + _boolean ______split_136_35_1_2_1_1; + _integer ______split_137_35_1_2_1_1; + _real _____cell_35_1_2_1_1; + _real _____ncell_35_1_2_1_1; + _boolean ______split_136_34_1_2_1_1; + _integer ______split_137_34_1_2_1_1; + _real _____cell_34_1_2_1_1; + _real _____ncell_34_1_2_1_1; + _boolean ______split_136_33_1_2_1_1; + _integer ______split_137_33_1_2_1_1; + _real _____cell_33_1_2_1_1; + _real _____ncell_33_1_2_1_1; + _boolean ______split_136_32_1_2_1_1; + _integer ______split_137_32_1_2_1_1; + _real _____cell_32_1_2_1_1; + _real _____ncell_32_1_2_1_1; + _boolean ______split_136_31_1_2_1_1; + _integer ______split_137_31_1_2_1_1; + _real _____cell_31_1_2_1_1; + _real _____ncell_31_1_2_1_1; + _boolean ______split_136_30_1_2_1_1; + _integer ______split_137_30_1_2_1_1; + _real _____cell_30_1_2_1_1; + _real _____ncell_30_1_2_1_1; + _boolean ______split_136_29_1_2_1_1; + _integer ______split_137_29_1_2_1_1; + _real _____cell_29_1_2_1_1; + _real _____ncell_29_1_2_1_1; + _boolean ______split_136_28_1_2_1_1; + _integer ______split_137_28_1_2_1_1; + _real _____cell_28_1_2_1_1; + _real _____ncell_28_1_2_1_1; + _boolean ______split_136_27_1_2_1_1; + _integer ______split_137_27_1_2_1_1; + _real _____cell_27_1_2_1_1; + _real _____ncell_27_1_2_1_1; + _boolean ______split_136_26_1_2_1_1; + _integer ______split_137_26_1_2_1_1; + _real _____cell_26_1_2_1_1; + _real _____ncell_26_1_2_1_1; + _boolean ______split_136_25_1_2_1_1; + _integer ______split_137_25_1_2_1_1; + _real _____cell_25_1_2_1_1; + _real _____ncell_25_1_2_1_1; + _boolean ______split_136_24_1_2_1_1; + _integer ______split_137_24_1_2_1_1; + _real _____cell_24_1_2_1_1; + _real _____ncell_24_1_2_1_1; + _boolean ______split_136_23_1_2_1_1; + _integer ______split_137_23_1_2_1_1; + _real _____cell_23_1_2_1_1; + _real _____ncell_23_1_2_1_1; + _boolean ______split_136_22_1_2_1_1; + _integer ______split_137_22_1_2_1_1; + _real _____cell_22_1_2_1_1; + _real _____ncell_22_1_2_1_1; + _boolean ______split_136_21_1_2_1_1; + _integer ______split_137_21_1_2_1_1; + _real _____cell_21_1_2_1_1; + _real _____ncell_21_1_2_1_1; + _boolean ______split_136_20_1_2_1_1; + _integer ______split_137_20_1_2_1_1; + _real _____cell_20_1_2_1_1; + _real _____ncell_20_1_2_1_1; + _boolean ______split_136_19_1_2_1_1; + _integer ______split_137_19_1_2_1_1; + _real _____cell_19_1_2_1_1; + _real _____ncell_19_1_2_1_1; + _boolean ______split_136_18_1_2_1_1; + _integer ______split_137_18_1_2_1_1; + _real _____cell_18_1_2_1_1; + _real _____ncell_18_1_2_1_1; + _boolean ______split_136_17_1_2_1_1; + _integer ______split_137_17_1_2_1_1; + _real _____cell_17_1_2_1_1; + _real _____ncell_17_1_2_1_1; + _boolean ______split_136_16_1_2_1_1; + _integer ______split_137_16_1_2_1_1; + _real _____cell_16_1_2_1_1; + _real _____ncell_16_1_2_1_1; + _boolean ______split_136_15_1_2_1_1; + _integer ______split_137_15_1_2_1_1; + _real _____cell_15_1_2_1_1; + _real _____ncell_15_1_2_1_1; + _boolean ______split_136_14_1_2_1_1; + _integer ______split_137_14_1_2_1_1; + _real _____cell_14_1_2_1_1; + _real _____ncell_14_1_2_1_1; + _boolean ______split_136_13_1_2_1_1; + _integer ______split_137_13_1_2_1_1; + _real _____cell_13_1_2_1_1; + _real _____ncell_13_1_2_1_1; + _boolean ______split_136_12_1_2_1_1; + _integer ______split_137_12_1_2_1_1; + _real _____cell_12_1_2_1_1; + _real _____ncell_12_1_2_1_1; + _boolean ______split_136_11_1_2_1_1; + _integer ______split_137_11_1_2_1_1; + _real _____cell_11_1_2_1_1; + _real _____ncell_11_1_2_1_1; + _boolean ______split_136_10_1_2_1_1; + _integer ______split_137_10_1_2_1_1; + _real _____cell_10_1_2_1_1; + _real _____ncell_10_1_2_1_1; + _boolean ______split_136_9_1_2_1_1; + _integer ______split_137_9_1_2_1_1; + _real _____cell_9_1_2_1_1; + _real _____ncell_9_1_2_1_1; + _boolean ______split_136_8_1_2_1_1; + _integer ______split_137_8_1_2_1_1; + _real _____cell_8_1_2_1_1; + _real _____ncell_8_1_2_1_1; + _boolean ______split_136_7_1_2_1_1; + _integer ______split_137_7_1_2_1_1; + _real _____cell_7_1_2_1_1; + _real _____ncell_7_1_2_1_1; + _boolean ______split_136_6_1_2_1_1; + _integer ______split_137_6_1_2_1_1; + _real _____cell_6_1_2_1_1; + _real _____ncell_6_1_2_1_1; + _boolean ______split_136_5_1_2_1_1; + _integer ______split_137_5_1_2_1_1; + _real _____cell_5_1_2_1_1; + _real _____ncell_5_1_2_1_1; + _boolean ______split_136_4_1_2_1_1; + _integer ______split_137_4_1_2_1_1; + _real _____cell_4_1_2_1_1; + _real _____ncell_4_1_2_1_1; + _boolean ______split_136_3_1_2_1_1; + _integer ______split_137_3_1_2_1_1; + _real _____cell_3_1_2_1_1; + _real _____ncell_3_1_2_1_1; + _boolean ______split_136_2_1_2_1_1; + _integer ______split_137_2_1_2_1_1; + _real _____cell_2_1_2_1_1; + _real _____ncell_2_1_2_1_1; + _boolean ______split_136_1_1_2_1_1; + _integer ______split_137_1_1_2_1_1; + _real _____cell_1_1_2_1_1; + _real _____ncell_1_1_2_1_1; + convertible_update_acc _____acc_1_1_2_1_1; + convertible_update_acc _____acc_2_1_2_1_1; + convertible_update_acc _____acc_3_1_2_1_1; + convertible_update_acc _____acc_4_1_2_1_1; + convertible_update_acc _____acc_5_1_2_1_1; + convertible_update_acc _____acc_6_1_2_1_1; + convertible_update_acc _____acc_7_1_2_1_1; + convertible_update_acc _____acc_8_1_2_1_1; + convertible_update_acc _____acc_9_1_2_1_1; + convertible_update_acc _____acc_10_1_2_1_1; + convertible_update_acc _____acc_11_1_2_1_1; + convertible_update_acc _____acc_12_1_2_1_1; + convertible_update_acc _____acc_13_1_2_1_1; + convertible_update_acc _____acc_14_1_2_1_1; + convertible_update_acc _____acc_15_1_2_1_1; + convertible_update_acc _____acc_16_1_2_1_1; + convertible_update_acc _____acc_17_1_2_1_1; + convertible_update_acc _____acc_18_1_2_1_1; + convertible_update_acc _____acc_19_1_2_1_1; + convertible_update_acc _____acc_20_1_2_1_1; + convertible_update_acc _____acc_21_1_2_1_1; + convertible_update_acc _____acc_22_1_2_1_1; + convertible_update_acc _____acc_23_1_2_1_1; + convertible_update_acc _____acc_24_1_2_1_1; + convertible_update_acc _____acc_25_1_2_1_1; + convertible_update_acc _____acc_26_1_2_1_1; + convertible_update_acc _____acc_27_1_2_1_1; + convertible_update_acc _____acc_28_1_2_1_1; + convertible_update_acc _____acc_29_1_2_1_1; + convertible_update_acc _____acc_30_1_2_1_1; + convertible_update_acc _____acc_31_1_2_1_1; + convertible_update_acc _____acc_32_1_2_1_1; + convertible_update_acc _____acc_33_1_2_1_1; + convertible_update_acc _____acc_34_1_2_1_1; + convertible_update_acc _____acc_35_1_2_1_1; + convertible_update_acc _____acc_36_1_2_1_1; + convertible_update_acc _____acc_37_1_2_1_1; + convertible_update_acc _____acc_38_1_2_1_1; + convertible_update_acc _____acc_39_1_2_1_1; + convertible_update_acc _____acc_40_1_2_1_1; + convertible_update_acc _____acc_41_1_2_1_1; + convertible_update_acc _____acc_42_1_2_1_1; + convertible_update_acc _____acc_43_1_2_1_1; + convertible_update_acc _____acc_44_1_2_1_1; + convertible_update_acc _____acc_45_1_2_1_1; + convertible_update_acc _____acc_46_1_2_1_1; + convertible_update_acc _____acc_47_1_2_1_1; + convertible_update_acc _____acc_48_1_2_1_1; + convertible_update_acc _____acc_49_1_2_1_1; + _real ____acc_98_2_1_1; + _real ____acc_97_2_1_1; + _real ____acc_96_2_1_1; + _real ____acc_95_2_1_1; + _real ____acc_94_2_1_1; + _real ____acc_93_2_1_1; + _real ____acc_92_2_1_1; + _real ____acc_91_2_1_1; + _real ____acc_90_2_1_1; + _real ____acc_89_2_1_1; + _real ____acc_88_2_1_1; + _real ____acc_87_2_1_1; + _real ____acc_86_2_1_1; + _real ____acc_85_2_1_1; + _real ____acc_84_2_1_1; + _real ____acc_83_2_1_1; + _real ____acc_82_2_1_1; + _real ____acc_81_2_1_1; + _real ____acc_80_2_1_1; + _real ____acc_79_2_1_1; + _real ____acc_78_2_1_1; + _real ____acc_77_2_1_1; + _real ____acc_76_2_1_1; + _real ____acc_75_2_1_1; + _real ____acc_74_2_1_1; + _real ____acc_73_2_1_1; + _real ____acc_72_2_1_1; + _real ____acc_71_2_1_1; + _real ____acc_70_2_1_1; + _real ____acc_69_2_1_1; + _real ____acc_68_2_1_1; + _real ____acc_67_2_1_1; + _real ____acc_66_2_1_1; + _real ____acc_65_2_1_1; + _real ____acc_64_2_1_1; + _real ____acc_63_2_1_1; + _real ____acc_62_2_1_1; + _real ____acc_61_2_1_1; + _real ____acc_60_2_1_1; + _real ____acc_59_2_1_1; + _real ____acc_58_2_1_1; + _real ____acc_57_2_1_1; + _real ____acc_56_2_1_1; + _real ____acc_55_2_1_1; + _real ____acc_54_2_1_1; + _real ____acc_53_2_1_1; + _real ____acc_52_2_1_1; + _real ____acc_51_2_1_1; + _real ____acc_50_2_1_1; + _real ___i1_2_1_1; + _integer ___split_130_1_1; + _real ___split_129_1_1[50]; + _real ___split_128_1_1[50]; + _integer ___split_127_1_1; + _integer ___split_126_1_1; + _real __a_3_1[50]; + _real __pre_a_2_1[50]; + _integer __i_2_1; + _boolean _ac_cond_1; + _integer _pst_1; + _integer __split_138_1; + _boolean __split_139_1; + _boolean __split_140_1; + _integer __split_141_1; + _boolean __split_142_1; + _boolean __split_143_1; + _boolean __split_144_1; + _integer __split_145_1; + _integer __split_146_1; + _boolean __split_147_1; + _boolean __split_148_1; + _integer __split_149_1; + _real _tBrake_1; + _real _tChoc_1; + _real _Accel_1; + _real __split_11_1; + _real __split_12_1; + _real __split_13_1; + _real __split_14_1; + _real __split_15_1; + _real __split_16_1; + _real __split_17_1; + _boolean ____split_10_1_1_1; + _real ____presqrt_5_2_1_1; + _boolean ______split_1_5_1_2_1_1; + _real ______split_2_5_1_2_1_1; + _boolean _____ecart_4_1_2_1_1; + _real _____sqrt_4_1_2_1_1; + _real ______split_110_1_1_2_1_1; + _real ______split_111_1_1_2_1_1; + _real ______split_112_1_1_2_1_1; + _real ______split_113_1_1_2_1_1; + _real ______split_114_1_1_2_1_1; + _real ______split_115_1_1_2_1_1; + _real ______split_116_1_1_2_1_1; + _real ______split_117_1_1_2_1_1; + _boolean ________split_1_3_1_1_1_2_1_1; + _real ________split_2_3_1_1_1_2_1_1; + _boolean _______ecart_2_1_1_1_2_1_1; + _real _______sqrt_2_1_1_1_2_1_1; + _real ________split_94_1_1_1_1_2_1_1; + _real ________split_95_1_1_1_1_2_1_1; + _real ________split_96_1_1_1_1_2_1_1; + _real ________split_97_1_1_1_1_2_1_1; + _real ________split_98_1_1_1_1_2_1_1; + _real ________split_99_1_1_1_1_2_1_1; + _real ________split_101_1_1_1_1_2_1_1; + _boolean __________split_1_1_1_1_1_1_1_2_1_1; + _real __________split_2_1_1_1_1_1_1_2_1_1; + _real _________split_93_1_1_1_1_1_2_1_1; + _real _________split_92_1_1_1_1_1_2_1_1; + _real _________split_91_1_1_1_1_1_2_1_1; + _real _________split_90_1_1_1_1_1_2_1_1; + _real ________sqrt_1_1_1_1_1_2_1_1; + _boolean ________ecart_1_1_1_1_1_2_1_1; + _real _________split_2_2_1_1_1_1_2_1_1; + _boolean _________split_1_2_1_1_1_1_2_1_1; + _real _______split_109_1_1_1_2_1_1; + _real _______split_108_1_1_1_2_1_1; + _real _______split_107_1_1_1_2_1_1; + _real _______split_106_1_1_1_2_1_1; + _real _______split_105_1_1_1_2_1_1; + _real _______split_104_1_1_1_2_1_1; + _real _______split_103_1_1_1_2_1_1; + _real _______split_102_1_1_1_2_1_1; + _real ______sqrt_3_1_1_2_1_1; + _boolean ______ecart_3_1_1_2_1_1; + _real _______split_2_4_1_1_2_1_1; + _boolean _______split_1_4_1_1_2_1_1; + _real _____split_125_1_2_1_1; + _real _____split_124_1_2_1_1; + _real _____split_123_1_2_1_1; + _real _____split_122_1_2_1_1; + _real _____split_121_1_2_1_1; + _real _____split_120_1_2_1_1; + _real _____split_119_1_2_1_1; + _real _____split_118_1_2_1_1; + _real ____sqrt_5_2_1_1; + _boolean ____ecart_5_2_1_1; + _real ____presqrt_5_1_1_1; + _boolean ______split_1_5_1_1_1_1; + _real ______split_2_5_1_1_1_1; + _boolean _____ecart_4_1_1_1_1; + _real _____sqrt_4_1_1_1_1; + _real ______split_110_1_1_1_1_1; + _real ______split_111_1_1_1_1_1; + _real ______split_112_1_1_1_1_1; + _real ______split_113_1_1_1_1_1; + _real ______split_114_1_1_1_1_1; + _real ______split_115_1_1_1_1_1; + _real ______split_116_1_1_1_1_1; + _real ______split_117_1_1_1_1_1; + _boolean ________split_1_3_1_1_1_1_1_1; + _real ________split_2_3_1_1_1_1_1_1; + _boolean _______ecart_2_1_1_1_1_1_1; + _real _______sqrt_2_1_1_1_1_1_1; + _real ________split_94_1_1_1_1_1_1_1; + _real ________split_95_1_1_1_1_1_1_1; + _real ________split_96_1_1_1_1_1_1_1; + _real ________split_97_1_1_1_1_1_1_1; + _real ________split_98_1_1_1_1_1_1_1; + _real ________split_99_1_1_1_1_1_1_1; + _real ________split_101_1_1_1_1_1_1_1; + _boolean __________split_1_1_1_1_1_1_1_1_1_1; + _real __________split_2_1_1_1_1_1_1_1_1_1; + _real _________split_93_1_1_1_1_1_1_1_1; + _real _________split_92_1_1_1_1_1_1_1_1; + _real _________split_91_1_1_1_1_1_1_1_1; + _real _________split_90_1_1_1_1_1_1_1_1; + _real ________sqrt_1_1_1_1_1_1_1_1; + _boolean ________ecart_1_1_1_1_1_1_1_1; + _real _________split_2_2_1_1_1_1_1_1_1; + _boolean _________split_1_2_1_1_1_1_1_1_1; + _real _______split_109_1_1_1_1_1_1; + _real _______split_108_1_1_1_1_1_1; + _real _______split_107_1_1_1_1_1_1; + _real _______split_106_1_1_1_1_1_1; + _real _______split_105_1_1_1_1_1_1; + _real _______split_104_1_1_1_1_1_1; + _real _______split_103_1_1_1_1_1_1; + _real _______split_102_1_1_1_1_1_1; + _real ______sqrt_3_1_1_1_1_1; + _boolean ______ecart_3_1_1_1_1_1; + _real _______split_2_4_1_1_1_1_1; + _boolean _______split_1_4_1_1_1_1_1; + _real _____split_125_1_1_1_1; + _real _____split_124_1_1_1_1; + _real _____split_123_1_1_1_1; + _real _____split_122_1_1_1_1; + _real _____split_121_1_1_1_1; + _real _____split_120_1_1_1_1; + _real _____split_119_1_1_1_1; + _real _____split_118_1_1_1_1; + _real ____sqrt_5_1_1_1; + _boolean ____ecart_5_1_1_1; + _real ___split_77_1_1; + _real ___split_76_1_1; + _real ___split_75_1_1; + _real ___split_74_1_1; + _real ___split_73_1_1; + _real ___split_72_1_1; + _real ___split_71_1_1; + _real ___split_70_1_1; + _real ___split_69_1_1; + _real ___split_68_1_1; + _real ___split_67_1_1; + _real ___split_66_1_1; + _real ___split_65_1_1; + _real ___split_64_1_1; + _real ___split_63_1_1; + _real ___split_62_1_1; + _real ___split_61_1_1; + _real ___split_60_1_1; + _real ___split_59_1_1; + _integer ___split_58_1_1; + _integer ___split_57_1_1; + _boolean ___split_56_1_1; + _boolean ___split_55_1_1; + _integer ___split_54_1_1; + _boolean ___split_53_1_1; + _boolean ___split_52_1_1; + _real ___split_51_1_1; + _real ___split_50_1_1; + _real ___split_49_1_1; + _real __delta_1_1; + _integer __sol_nb_1_1; + _real __a2_1_1; + _real __b2_1_1; + _real __delta_pos_1_1; + _real ___split_4_1_1; + _boolean _split_7; + _real _split_6; + _real _split_5; + _integer St; + _boolean _split_8; + _boolean _split_9; + + Lustre_pre_get(&__split_89_1,&ctx->Lustre_pre_ctx_tab[3]); + Lustre_arrow_step(0.0,__split_89_1,Speed,&ctx->Lustre_arrow_ctx_tab[3]); + switch (St){ + case convertible_anti_col: + _split_5 = *Speed; + ___split_4_1_1 = _split_5 * _split_5; + Lustre_slash_step(___split_4_1_1,5500.0,&_tBrake_1); + __split_17_1 = 2.0 + _tBrake_1; + _split_6 = Dist; + __split_15_1 = - 2.0; + __split_16_1 = __split_15_1 * _split_6; + ___split_60_1_1 = - __split_16_1; + __split_14_1 = 2.0 * _split_5; + Lustre_slash_step(___split_60_1_1,__split_14_1,&___split_61_1_1); + switch (__sol_nb_1_1){ + case convertible_deg1: + ___split_62_1_1 = ___split_61_1_1; + _tChoc_1 = ___split_62_1_1; + break; + case convertible_no_sol: + ___split_59_1_1 = - 1.0; + _tChoc_1 = ___split_59_1_1; + break; +} + ___split_63_1_1 = - __split_14_1; + Lustre_pre_get(&__split_11_1,&ctx->Lustre_pre_ctx_tab[0]); + __split_12_1 = _split_5 - __split_11_1; + Lustre_slash_step(__split_12_1,0.1,&__split_13_1); + Lustre_arrow_step(0.0,__split_13_1,&_Accel_1,&ctx->Lustre_arrow_ctx_tab[0]); + ___split_64_1_1 = 2.0 * _Accel_1; + Lustre_slash_step(___split_63_1_1,___split_64_1_1,&___split_65_1_1); + switch (__sol_nb_1_1){ + case convertible_one_sol: + ___split_66_1_1 = ___split_65_1_1; + _tChoc_1 = ___split_66_1_1; + break; +} + ___split_50_1_1 = 4.0 * _Accel_1; + ___split_51_1_1 = ___split_50_1_1 * __split_16_1; + ___split_49_1_1 = __split_14_1 * __split_14_1; + __delta_1_1 = ___split_49_1_1 - ___split_51_1_1; + switch (__sol_nb_1_1){ + case convertible_two_sol: + __delta_pos_1_1 = __delta_1_1; + ____presqrt_5_1_1_1 = 1.0; + Lustre_slash_step(__delta_pos_1_1,____presqrt_5_1_1_1,&_____split_120_1_1_1_1); + _____split_121_1_1_1_1 = ____presqrt_5_1_1_1 + _____split_120_1_1_1_1; + ____sqrt_5_1_1_1 = 0.5 * _____split_121_1_1_1_1; + _____split_118_1_1_1_1 = ____presqrt_5_1_1_1 - ____sqrt_5_1_1_1; + ______split_2_5_1_1_1_1 = - _____split_118_1_1_1_1; + ______split_1_5_1_1_1_1 = _____split_118_1_1_1_1 >= 0.0; + if (______split_1_5_1_1_1_1 == _true) { + _____split_119_1_1_1_1 = _____split_118_1_1_1_1; + } else { + _____split_119_1_1_1_1 = ______split_2_5_1_1_1_1; + } + ____ecart_5_1_1_1 = _____split_119_1_1_1_1 < 0.0005; + switch (____ecart_5_1_1_1){ + case _false: + _____split_123_1_1_1_1 = ____sqrt_5_1_1_1; + _____split_122_1_1_1_1 = __delta_pos_1_1; + Lustre_slash_step(_____split_122_1_1_1_1,_____split_123_1_1_1_1,&______split_112_1_1_1_1_1); + ______split_113_1_1_1_1_1 = _____split_123_1_1_1_1 + ______split_112_1_1_1_1_1; + _____sqrt_4_1_1_1_1 = 0.5 * ______split_113_1_1_1_1_1; + ______split_110_1_1_1_1_1 = _____split_123_1_1_1_1 - _____sqrt_4_1_1_1_1; + _______split_2_4_1_1_1_1_1 = - ______split_110_1_1_1_1_1; + _______split_1_4_1_1_1_1_1 = ______split_110_1_1_1_1_1 >= 0.0; + if (_______split_1_4_1_1_1_1_1 == _true) { + ______split_111_1_1_1_1_1 = ______split_110_1_1_1_1_1; + } else { + ______split_111_1_1_1_1_1 = _______split_2_4_1_1_1_1_1; + } + _____ecart_4_1_1_1_1 = ______split_111_1_1_1_1_1 < 0.0005; + switch (_____ecart_4_1_1_1_1){ + case _false: + ______split_115_1_1_1_1_1 = _____sqrt_4_1_1_1_1; + ______split_114_1_1_1_1_1 = _____split_122_1_1_1_1; + Lustre_slash_step(______split_114_1_1_1_1_1,______split_115_1_1_1_1_1,&_______split_104_1_1_1_1_1_1); + _______split_105_1_1_1_1_1_1 = ______split_115_1_1_1_1_1 + _______split_104_1_1_1_1_1_1; + ______sqrt_3_1_1_1_1_1 = 0.5 * _______split_105_1_1_1_1_1_1; + _______split_102_1_1_1_1_1_1 = ______split_115_1_1_1_1_1 - ______sqrt_3_1_1_1_1_1; + ________split_2_3_1_1_1_1_1_1 = - _______split_102_1_1_1_1_1_1; + ________split_1_3_1_1_1_1_1_1 = _______split_102_1_1_1_1_1_1 >= 0.0; + if (________split_1_3_1_1_1_1_1_1 == _true) { + _______split_103_1_1_1_1_1_1 = _______split_102_1_1_1_1_1_1; + } else { + _______split_103_1_1_1_1_1_1 = ________split_2_3_1_1_1_1_1_1; + } + ______ecart_3_1_1_1_1_1 = _______split_103_1_1_1_1_1_1 < 0.0005; + switch (______ecart_3_1_1_1_1_1){ + case _false: + _______split_107_1_1_1_1_1_1 = ______sqrt_3_1_1_1_1_1; + _______split_106_1_1_1_1_1_1 = ______split_114_1_1_1_1_1; + Lustre_slash_step(_______split_106_1_1_1_1_1_1,_______split_107_1_1_1_1_1_1,&________split_96_1_1_1_1_1_1_1); + ________split_97_1_1_1_1_1_1_1 = _______split_107_1_1_1_1_1_1 + ________split_96_1_1_1_1_1_1_1; + _______sqrt_2_1_1_1_1_1_1 = 0.5 * ________split_97_1_1_1_1_1_1_1; + ________split_94_1_1_1_1_1_1_1 = _______split_107_1_1_1_1_1_1 - _______sqrt_2_1_1_1_1_1_1; + _________split_2_2_1_1_1_1_1_1_1 = - ________split_94_1_1_1_1_1_1_1; + _________split_1_2_1_1_1_1_1_1_1 = ________split_94_1_1_1_1_1_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_1_1_1 == _true) { + ________split_95_1_1_1_1_1_1_1 = ________split_94_1_1_1_1_1_1_1; + } else { + ________split_95_1_1_1_1_1_1_1 = _________split_2_2_1_1_1_1_1_1_1; + } + _______ecart_2_1_1_1_1_1_1 = ________split_95_1_1_1_1_1_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_1_1_1){ + case _false: + ________split_98_1_1_1_1_1_1_1 = _______split_106_1_1_1_1_1_1; + ________split_99_1_1_1_1_1_1_1 = _______sqrt_2_1_1_1_1_1_1; + Lustre_slash_step(________split_98_1_1_1_1_1_1_1,________split_99_1_1_1_1_1_1_1,&_________split_92_1_1_1_1_1_1_1_1); + _________split_93_1_1_1_1_1_1_1_1 = ________split_99_1_1_1_1_1_1_1 + _________split_92_1_1_1_1_1_1_1_1; + ________sqrt_1_1_1_1_1_1_1_1 = 0.5 * _________split_93_1_1_1_1_1_1_1_1; + _______split_108_1_1_1_1_1_1 = ________sqrt_1_1_1_1_1_1_1_1; + break; + case _true: + ________split_101_1_1_1_1_1_1_1 = _______sqrt_2_1_1_1_1_1_1; + _______split_108_1_1_1_1_1_1 = ________split_101_1_1_1_1_1_1_1; + break; +} + ______split_116_1_1_1_1_1 = _______split_108_1_1_1_1_1_1; + break; + case _true: + _______split_109_1_1_1_1_1_1 = ______sqrt_3_1_1_1_1_1; + ______split_116_1_1_1_1_1 = _______split_109_1_1_1_1_1_1; + break; +} + _____split_124_1_1_1_1 = ______split_116_1_1_1_1_1; + break; + case _true: + ______split_117_1_1_1_1_1 = _____sqrt_4_1_1_1_1; + _____split_124_1_1_1_1 = ______split_117_1_1_1_1_1; + break; +} + ___split_68_1_1 = _____split_124_1_1_1_1; + break; + case _true: + _____split_125_1_1_1_1 = ____sqrt_5_1_1_1; + ___split_68_1_1 = _____split_125_1_1_1_1; + break; +} + __a2_1_1 = _Accel_1; + ___split_69_1_1 = 2.0 * __a2_1_1; + Lustre_slash_step(___split_68_1_1,___split_69_1_1,&___split_70_1_1); + __b2_1_1 = __split_14_1; + ___split_67_1_1 = - __b2_1_1; + ___split_71_1_1 = ___split_67_1_1 + ___split_70_1_1; + ____presqrt_5_2_1_1 = 1.0; + Lustre_slash_step(__delta_pos_1_1,____presqrt_5_2_1_1,&_____split_120_1_2_1_1); + _____split_121_1_2_1_1 = ____presqrt_5_2_1_1 + _____split_120_1_2_1_1; + ____sqrt_5_2_1_1 = 0.5 * _____split_121_1_2_1_1; + _____split_118_1_2_1_1 = ____presqrt_5_2_1_1 - ____sqrt_5_2_1_1; + ______split_2_5_1_2_1_1 = - _____split_118_1_2_1_1; + ______split_1_5_1_2_1_1 = _____split_118_1_2_1_1 >= 0.0; + if (______split_1_5_1_2_1_1 == _true) { + _____split_119_1_2_1_1 = _____split_118_1_2_1_1; + } else { + _____split_119_1_2_1_1 = ______split_2_5_1_2_1_1; + } + ____ecart_5_2_1_1 = _____split_119_1_2_1_1 < 0.0005; + switch (____ecart_5_2_1_1){ + case _false: + _____split_123_1_2_1_1 = ____sqrt_5_2_1_1; + _____split_122_1_2_1_1 = __delta_pos_1_1; + Lustre_slash_step(_____split_122_1_2_1_1,_____split_123_1_2_1_1,&______split_112_1_1_2_1_1); + ______split_113_1_1_2_1_1 = _____split_123_1_2_1_1 + ______split_112_1_1_2_1_1; + _____sqrt_4_1_2_1_1 = 0.5 * ______split_113_1_1_2_1_1; + ______split_110_1_1_2_1_1 = _____split_123_1_2_1_1 - _____sqrt_4_1_2_1_1; + _______split_2_4_1_1_2_1_1 = - ______split_110_1_1_2_1_1; + _______split_1_4_1_1_2_1_1 = ______split_110_1_1_2_1_1 >= 0.0; + if (_______split_1_4_1_1_2_1_1 == _true) { + ______split_111_1_1_2_1_1 = ______split_110_1_1_2_1_1; + } else { + ______split_111_1_1_2_1_1 = _______split_2_4_1_1_2_1_1; + } + _____ecart_4_1_2_1_1 = ______split_111_1_1_2_1_1 < 0.0005; + switch (_____ecart_4_1_2_1_1){ + case _false: + ______split_115_1_1_2_1_1 = _____sqrt_4_1_2_1_1; + ______split_114_1_1_2_1_1 = _____split_122_1_2_1_1; + Lustre_slash_step(______split_114_1_1_2_1_1,______split_115_1_1_2_1_1,&_______split_104_1_1_1_2_1_1); + _______split_105_1_1_1_2_1_1 = ______split_115_1_1_2_1_1 + _______split_104_1_1_1_2_1_1; + ______sqrt_3_1_1_2_1_1 = 0.5 * _______split_105_1_1_1_2_1_1; + _______split_102_1_1_1_2_1_1 = ______split_115_1_1_2_1_1 - ______sqrt_3_1_1_2_1_1; + ________split_2_3_1_1_1_2_1_1 = - _______split_102_1_1_1_2_1_1; + ________split_1_3_1_1_1_2_1_1 = _______split_102_1_1_1_2_1_1 >= 0.0; + if (________split_1_3_1_1_1_2_1_1 == _true) { + _______split_103_1_1_1_2_1_1 = _______split_102_1_1_1_2_1_1; + } else { + _______split_103_1_1_1_2_1_1 = ________split_2_3_1_1_1_2_1_1; + } + ______ecart_3_1_1_2_1_1 = _______split_103_1_1_1_2_1_1 < 0.0005; + switch (______ecart_3_1_1_2_1_1){ + case _false: + _______split_107_1_1_1_2_1_1 = ______sqrt_3_1_1_2_1_1; + _______split_106_1_1_1_2_1_1 = ______split_114_1_1_2_1_1; + Lustre_slash_step(_______split_106_1_1_1_2_1_1,_______split_107_1_1_1_2_1_1,&________split_96_1_1_1_1_2_1_1); + ________split_97_1_1_1_1_2_1_1 = _______split_107_1_1_1_2_1_1 + ________split_96_1_1_1_1_2_1_1; + _______sqrt_2_1_1_1_2_1_1 = 0.5 * ________split_97_1_1_1_1_2_1_1; + ________split_94_1_1_1_1_2_1_1 = _______split_107_1_1_1_2_1_1 - _______sqrt_2_1_1_1_2_1_1; + _________split_2_2_1_1_1_1_2_1_1 = - ________split_94_1_1_1_1_2_1_1; + _________split_1_2_1_1_1_1_2_1_1 = ________split_94_1_1_1_1_2_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_2_1_1 == _true) { + ________split_95_1_1_1_1_2_1_1 = ________split_94_1_1_1_1_2_1_1; + } else { + ________split_95_1_1_1_1_2_1_1 = _________split_2_2_1_1_1_1_2_1_1; + } + _______ecart_2_1_1_1_2_1_1 = ________split_95_1_1_1_1_2_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_2_1_1){ + case _false: + ________split_98_1_1_1_1_2_1_1 = _______split_106_1_1_1_2_1_1; + ________split_99_1_1_1_1_2_1_1 = _______sqrt_2_1_1_1_2_1_1; + Lustre_slash_step(________split_98_1_1_1_1_2_1_1,________split_99_1_1_1_1_2_1_1,&_________split_92_1_1_1_1_1_2_1_1); + _________split_93_1_1_1_1_1_2_1_1 = ________split_99_1_1_1_1_2_1_1 + _________split_92_1_1_1_1_1_2_1_1; + ________sqrt_1_1_1_1_1_2_1_1 = 0.5 * _________split_93_1_1_1_1_1_2_1_1; + _______split_108_1_1_1_2_1_1 = ________sqrt_1_1_1_1_1_2_1_1; + break; + case _true: + ________split_101_1_1_1_1_2_1_1 = _______sqrt_2_1_1_1_2_1_1; + _______split_108_1_1_1_2_1_1 = ________split_101_1_1_1_1_2_1_1; + break; +} + ______split_116_1_1_2_1_1 = _______split_108_1_1_1_2_1_1; + break; + case _true: + _______split_109_1_1_1_2_1_1 = ______sqrt_3_1_1_2_1_1; + ______split_116_1_1_2_1_1 = _______split_109_1_1_1_2_1_1; + break; +} + _____split_124_1_2_1_1 = ______split_116_1_1_2_1_1; + break; + case _true: + ______split_117_1_1_2_1_1 = _____sqrt_4_1_2_1_1; + _____split_124_1_2_1_1 = ______split_117_1_1_2_1_1; + break; +} + ___split_73_1_1 = _____split_124_1_2_1_1; + break; + case _true: + _____split_125_1_2_1_1 = ____sqrt_5_2_1_1; + ___split_73_1_1 = _____split_125_1_2_1_1; + break; +} + ___split_74_1_1 = 2.0 * __a2_1_1; + Lustre_slash_step(___split_73_1_1,___split_74_1_1,&___split_75_1_1); + ___split_72_1_1 = - __b2_1_1; + ___split_76_1_1 = ___split_72_1_1 - ___split_75_1_1; + ____split_10_1_1_1 = ___split_71_1_1 < ___split_76_1_1; + if (____split_10_1_1_1 == _true) { + ___split_77_1_1 = ___split_76_1_1; + } else { + ___split_77_1_1 = ___split_71_1_1; + } + _tChoc_1 = ___split_77_1_1; + break; +} + _split_7 = _tChoc_1 < __split_17_1; + *Danger = _split_7; + Lustre_pre_set(_split_5,&ctx->Lustre_pre_ctx_tab[0]); + switch (__sol_nb_1_1){ + case convertible_two_sol: + switch (____ecart_5_1_1_1){ + case _false: + switch (_____ecart_4_1_1_1_1){ + case _false: + switch (______ecart_3_1_1_1_1_1){ + case _false: + switch (_______ecart_2_1_1_1_1_1_1){ + case _false: + _________split_90_1_1_1_1_1_1_1_1 = ________split_99_1_1_1_1_1_1_1 - ________sqrt_1_1_1_1_1_1_1_1; + __________split_2_1_1_1_1_1_1_1_1_1 = - _________split_90_1_1_1_1_1_1_1_1; + __________split_1_1_1_1_1_1_1_1_1_1 = _________split_90_1_1_1_1_1_1_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_1_1_1 == _true) { + _________split_91_1_1_1_1_1_1_1_1 = _________split_90_1_1_1_1_1_1_1_1; + } else { + _________split_91_1_1_1_1_1_1_1_1 = __________split_2_1_1_1_1_1_1_1_1_1; + } + ________ecart_1_1_1_1_1_1_1_1 = _________split_91_1_1_1_1_1_1_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + switch (____ecart_5_2_1_1){ + case _false: + switch (_____ecart_4_1_2_1_1){ + case _false: + switch (______ecart_3_1_1_2_1_1){ + case _false: + switch (_______ecart_2_1_1_1_2_1_1){ + case _false: + _________split_90_1_1_1_1_1_2_1_1 = ________split_99_1_1_1_1_2_1_1 - ________sqrt_1_1_1_1_1_2_1_1; + __________split_2_1_1_1_1_1_1_2_1_1 = - _________split_90_1_1_1_1_1_2_1_1; + __________split_1_1_1_1_1_1_1_2_1_1 = _________split_90_1_1_1_1_1_2_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_2_1_1 == _true) { + _________split_91_1_1_1_1_1_2_1_1 = _________split_90_1_1_1_1_1_2_1_1; + } else { + _________split_91_1_1_1_1_1_2_1_1 = __________split_2_1_1_1_1_1_1_2_1_1; + } + ________ecart_1_1_1_1_1_2_1_1 = _________split_91_1_1_1_1_1_2_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + break; +} + ___split_52_1_1 = _Accel_1 == 0.0; + ___split_53_1_1 = __split_14_1 == 0.0; + if (___split_53_1_1 == _true) { + ___split_54_1_1 = convertible_no_sol; + } else { + ___split_54_1_1 = convertible_deg1; + } + ___split_56_1_1 = __delta_1_1 == 0.0; + if (___split_56_1_1 == _true) { + ___split_57_1_1 = convertible_one_sol; + } else { + ___split_57_1_1 = convertible_two_sol; + } + ___split_55_1_1 = __delta_1_1 < 0.0; + if (___split_55_1_1 == _true) { + ___split_58_1_1 = convertible_no_sol; + } else { + ___split_58_1_1 = ___split_57_1_1; + } + if (___split_52_1_1 == _true) { + __sol_nb_1_1 = ___split_54_1_1; + } else { + __sol_nb_1_1 = ___split_58_1_1; + } + break; + case convertible_run: + *Danger = _false; + break; + case convertible_stationnary: + *Danger = _false; + break; +} + Lustre_pre_2_get(&__split_138_1,&ctx->Lustre_pre_2_ctx_tab[0]); + _ac_cond_1 = *Speed >= 110.0; + switch (_pst_1){ + case convertible_anti_col: + __split_147_1 = _ac_cond_1; + __split_148_1 = ! __split_147_1; + if (__split_148_1 == _true) { + __split_149_1 = convertible_run; + } else { + __split_149_1 = convertible_anti_col; + } + St = __split_149_1; + break; +} + __split_143_1 = *Speed == 0.0; + switch (_pst_1){ + case convertible_run: + __split_144_1 = __split_143_1; + if (__split_144_1 == _true) { + __split_145_1 = convertible_stationnary; + } else { + __split_145_1 = convertible_run; + } + __split_142_1 = _ac_cond_1; + if (__split_142_1 == _true) { + __split_146_1 = convertible_anti_col; + } else { + __split_146_1 = __split_145_1; + } + St = __split_146_1; + break; +} + switch (_pst_3){ + case convertible_in_motion: + __split_22_1 = Done; + if (__split_22_1 == _true) { + __split_23_1 = convertible_locked; + } else { + __split_23_1 = convertible_in_motion; + } + _st_2 = __split_23_1; + break; +} + __split_19_1 = OnOff & Parked; + switch (_pst_3){ + case convertible_locked: + __split_20_1 = __split_19_1; + if (__split_20_1 == _true) { + __split_21_1 = convertible_in_motion; + } else { + __split_21_1 = convertible_locked; + } + _st_2 = __split_21_1; + break; +} + *Locked = _st_2 == convertible_locked; + __split_139_1 = Start & *Locked; + switch (_pst_1){ + case convertible_stationnary: + __split_140_1 = __split_139_1; + if (__split_140_1 == _true) { + __split_141_1 = convertible_run; + } else { + __split_141_1 = convertible_stationnary; + } + St = __split_141_1; + break; +} + Lustre_pre_2_set(St,&ctx->Lustre_pre_2_ctx_tab[0]); + Lustre_arrow_2_step(convertible_stationnary,__split_138_1,&_pst_1,&ctx->Lustre_arrow_2_ctx_tab[0]); + if (Rot == _true) { + _dx_1 = 1.4; + } else { + _dx_1 = 0.0; + } + if (Tick == _true) { + _tx_1 = 0.1; + } else { + _tx_1 = 0.0; + } + _TickOrRot_1 = Tick | Rot; + Lustre_pre_get(&__split_78_1,&ctx->Lustre_pre_ctx_tab[1]); + Lustre_arrow_step(0.0,__split_78_1,&_pd_1,&ctx->Lustre_arrow_ctx_tab[1]); + switch (_TickOrRot_1){ + case _false: + __split_80_1 = _pd_1; + _d_1 = __split_80_1; + break; + case _true: + __split_81_1 = _dx_1; + ____split_3_2_1_1.v = __split_81_1; + _____acc_1_1_2_1_1.v = ____split_3_2_1_1.v; + _____acc_2_1_2_1_1.v = _____acc_1_1_2_1_1.v; + _____acc_3_1_2_1_1.v = _____acc_2_1_2_1_1.v; + _____acc_4_1_2_1_1.v = _____acc_3_1_2_1_1.v; + _____acc_5_1_2_1_1.v = _____acc_4_1_2_1_1.v; + _____acc_6_1_2_1_1.v = _____acc_5_1_2_1_1.v; + _____acc_7_1_2_1_1.v = _____acc_6_1_2_1_1.v; + _____acc_8_1_2_1_1.v = _____acc_7_1_2_1_1.v; + _____acc_9_1_2_1_1.v = _____acc_8_1_2_1_1.v; + _____acc_10_1_2_1_1.v = _____acc_9_1_2_1_1.v; + _____acc_11_1_2_1_1.v = _____acc_10_1_2_1_1.v; + _____acc_12_1_2_1_1.v = _____acc_11_1_2_1_1.v; + _____acc_13_1_2_1_1.v = _____acc_12_1_2_1_1.v; + _____acc_14_1_2_1_1.v = _____acc_13_1_2_1_1.v; + _____acc_15_1_2_1_1.v = _____acc_14_1_2_1_1.v; + _____acc_16_1_2_1_1.v = _____acc_15_1_2_1_1.v; + _____acc_17_1_2_1_1.v = _____acc_16_1_2_1_1.v; + _____acc_18_1_2_1_1.v = _____acc_17_1_2_1_1.v; + _____acc_19_1_2_1_1.v = _____acc_18_1_2_1_1.v; + _____acc_20_1_2_1_1.v = _____acc_19_1_2_1_1.v; + _____acc_21_1_2_1_1.v = _____acc_20_1_2_1_1.v; + _____acc_22_1_2_1_1.v = _____acc_21_1_2_1_1.v; + _____acc_23_1_2_1_1.v = _____acc_22_1_2_1_1.v; + _____acc_24_1_2_1_1.v = _____acc_23_1_2_1_1.v; + _____acc_25_1_2_1_1.v = _____acc_24_1_2_1_1.v; + _____acc_26_1_2_1_1.v = _____acc_25_1_2_1_1.v; + _____acc_27_1_2_1_1.v = _____acc_26_1_2_1_1.v; + _____acc_28_1_2_1_1.v = _____acc_27_1_2_1_1.v; + _____acc_29_1_2_1_1.v = _____acc_28_1_2_1_1.v; + _____acc_30_1_2_1_1.v = _____acc_29_1_2_1_1.v; + _____acc_31_1_2_1_1.v = _____acc_30_1_2_1_1.v; + _____acc_32_1_2_1_1.v = _____acc_31_1_2_1_1.v; + _____acc_33_1_2_1_1.v = _____acc_32_1_2_1_1.v; + _____acc_34_1_2_1_1.v = _____acc_33_1_2_1_1.v; + _____acc_35_1_2_1_1.v = _____acc_34_1_2_1_1.v; + _____acc_36_1_2_1_1.v = _____acc_35_1_2_1_1.v; + _____acc_37_1_2_1_1.v = _____acc_36_1_2_1_1.v; + _____acc_38_1_2_1_1.v = _____acc_37_1_2_1_1.v; + _____acc_39_1_2_1_1.v = _____acc_38_1_2_1_1.v; + _____acc_40_1_2_1_1.v = _____acc_39_1_2_1_1.v; + _____acc_41_1_2_1_1.v = _____acc_40_1_2_1_1.v; + _____acc_42_1_2_1_1.v = _____acc_41_1_2_1_1.v; + _____acc_43_1_2_1_1.v = _____acc_42_1_2_1_1.v; + _____acc_44_1_2_1_1.v = _____acc_43_1_2_1_1.v; + _____acc_45_1_2_1_1.v = _____acc_44_1_2_1_1.v; + _____acc_46_1_2_1_1.v = _____acc_45_1_2_1_1.v; + _____acc_47_1_2_1_1.v = _____acc_46_1_2_1_1.v; + _____acc_48_1_2_1_1.v = _____acc_47_1_2_1_1.v; + _____acc_49_1_2_1_1.v = _____acc_48_1_2_1_1.v; + ____split_3_2_1_1.i = 0; + ______split_137_1_1_2_1_1 = ____split_3_2_1_1.i + 1; + _____acc_1_1_2_1_1.i = ______split_137_1_1_2_1_1; + ______split_137_2_1_2_1_1 = _____acc_1_1_2_1_1.i + 1; + _____acc_2_1_2_1_1.i = ______split_137_2_1_2_1_1; + ______split_137_3_1_2_1_1 = _____acc_2_1_2_1_1.i + 1; + _____acc_3_1_2_1_1.i = ______split_137_3_1_2_1_1; + ______split_137_4_1_2_1_1 = _____acc_3_1_2_1_1.i + 1; + _____acc_4_1_2_1_1.i = ______split_137_4_1_2_1_1; + ______split_137_5_1_2_1_1 = _____acc_4_1_2_1_1.i + 1; + _____acc_5_1_2_1_1.i = ______split_137_5_1_2_1_1; + ______split_137_6_1_2_1_1 = _____acc_5_1_2_1_1.i + 1; + _____acc_6_1_2_1_1.i = ______split_137_6_1_2_1_1; + ______split_137_7_1_2_1_1 = _____acc_6_1_2_1_1.i + 1; + _____acc_7_1_2_1_1.i = ______split_137_7_1_2_1_1; + ______split_137_8_1_2_1_1 = _____acc_7_1_2_1_1.i + 1; + _____acc_8_1_2_1_1.i = ______split_137_8_1_2_1_1; + ______split_137_9_1_2_1_1 = _____acc_8_1_2_1_1.i + 1; + _____acc_9_1_2_1_1.i = ______split_137_9_1_2_1_1; + ______split_137_10_1_2_1_1 = _____acc_9_1_2_1_1.i + 1; + _____acc_10_1_2_1_1.i = ______split_137_10_1_2_1_1; + ______split_137_11_1_2_1_1 = _____acc_10_1_2_1_1.i + 1; + _____acc_11_1_2_1_1.i = ______split_137_11_1_2_1_1; + ______split_137_12_1_2_1_1 = _____acc_11_1_2_1_1.i + 1; + _____acc_12_1_2_1_1.i = ______split_137_12_1_2_1_1; + ______split_137_13_1_2_1_1 = _____acc_12_1_2_1_1.i + 1; + _____acc_13_1_2_1_1.i = ______split_137_13_1_2_1_1; + ______split_137_14_1_2_1_1 = _____acc_13_1_2_1_1.i + 1; + _____acc_14_1_2_1_1.i = ______split_137_14_1_2_1_1; + ______split_137_15_1_2_1_1 = _____acc_14_1_2_1_1.i + 1; + _____acc_15_1_2_1_1.i = ______split_137_15_1_2_1_1; + ______split_137_16_1_2_1_1 = _____acc_15_1_2_1_1.i + 1; + _____acc_16_1_2_1_1.i = ______split_137_16_1_2_1_1; + ______split_137_17_1_2_1_1 = _____acc_16_1_2_1_1.i + 1; + _____acc_17_1_2_1_1.i = ______split_137_17_1_2_1_1; + ______split_137_18_1_2_1_1 = _____acc_17_1_2_1_1.i + 1; + _____acc_18_1_2_1_1.i = ______split_137_18_1_2_1_1; + ______split_137_19_1_2_1_1 = _____acc_18_1_2_1_1.i + 1; + _____acc_19_1_2_1_1.i = ______split_137_19_1_2_1_1; + ______split_137_20_1_2_1_1 = _____acc_19_1_2_1_1.i + 1; + _____acc_20_1_2_1_1.i = ______split_137_20_1_2_1_1; + ______split_137_21_1_2_1_1 = _____acc_20_1_2_1_1.i + 1; + _____acc_21_1_2_1_1.i = ______split_137_21_1_2_1_1; + ______split_137_22_1_2_1_1 = _____acc_21_1_2_1_1.i + 1; + _____acc_22_1_2_1_1.i = ______split_137_22_1_2_1_1; + ______split_137_23_1_2_1_1 = _____acc_22_1_2_1_1.i + 1; + _____acc_23_1_2_1_1.i = ______split_137_23_1_2_1_1; + ______split_137_24_1_2_1_1 = _____acc_23_1_2_1_1.i + 1; + _____acc_24_1_2_1_1.i = ______split_137_24_1_2_1_1; + ______split_137_25_1_2_1_1 = _____acc_24_1_2_1_1.i + 1; + _____acc_25_1_2_1_1.i = ______split_137_25_1_2_1_1; + ______split_137_26_1_2_1_1 = _____acc_25_1_2_1_1.i + 1; + _____acc_26_1_2_1_1.i = ______split_137_26_1_2_1_1; + ______split_137_27_1_2_1_1 = _____acc_26_1_2_1_1.i + 1; + _____acc_27_1_2_1_1.i = ______split_137_27_1_2_1_1; + ______split_137_28_1_2_1_1 = _____acc_27_1_2_1_1.i + 1; + _____acc_28_1_2_1_1.i = ______split_137_28_1_2_1_1; + ______split_137_29_1_2_1_1 = _____acc_28_1_2_1_1.i + 1; + _____acc_29_1_2_1_1.i = ______split_137_29_1_2_1_1; + ______split_137_30_1_2_1_1 = _____acc_29_1_2_1_1.i + 1; + _____acc_30_1_2_1_1.i = ______split_137_30_1_2_1_1; + ______split_137_31_1_2_1_1 = _____acc_30_1_2_1_1.i + 1; + _____acc_31_1_2_1_1.i = ______split_137_31_1_2_1_1; + ______split_137_32_1_2_1_1 = _____acc_31_1_2_1_1.i + 1; + _____acc_32_1_2_1_1.i = ______split_137_32_1_2_1_1; + ______split_137_33_1_2_1_1 = _____acc_32_1_2_1_1.i + 1; + _____acc_33_1_2_1_1.i = ______split_137_33_1_2_1_1; + ______split_137_34_1_2_1_1 = _____acc_33_1_2_1_1.i + 1; + _____acc_34_1_2_1_1.i = ______split_137_34_1_2_1_1; + ______split_137_35_1_2_1_1 = _____acc_34_1_2_1_1.i + 1; + _____acc_35_1_2_1_1.i = ______split_137_35_1_2_1_1; + ______split_137_36_1_2_1_1 = _____acc_35_1_2_1_1.i + 1; + _____acc_36_1_2_1_1.i = ______split_137_36_1_2_1_1; + ______split_137_37_1_2_1_1 = _____acc_36_1_2_1_1.i + 1; + _____acc_37_1_2_1_1.i = ______split_137_37_1_2_1_1; + ______split_137_38_1_2_1_1 = _____acc_37_1_2_1_1.i + 1; + _____acc_38_1_2_1_1.i = ______split_137_38_1_2_1_1; + ______split_137_39_1_2_1_1 = _____acc_38_1_2_1_1.i + 1; + _____acc_39_1_2_1_1.i = ______split_137_39_1_2_1_1; + ______split_137_40_1_2_1_1 = _____acc_39_1_2_1_1.i + 1; + _____acc_40_1_2_1_1.i = ______split_137_40_1_2_1_1; + ______split_137_41_1_2_1_1 = _____acc_40_1_2_1_1.i + 1; + _____acc_41_1_2_1_1.i = ______split_137_41_1_2_1_1; + ______split_137_42_1_2_1_1 = _____acc_41_1_2_1_1.i + 1; + _____acc_42_1_2_1_1.i = ______split_137_42_1_2_1_1; + ______split_137_43_1_2_1_1 = _____acc_42_1_2_1_1.i + 1; + _____acc_43_1_2_1_1.i = ______split_137_43_1_2_1_1; + ______split_137_44_1_2_1_1 = _____acc_43_1_2_1_1.i + 1; + _____acc_44_1_2_1_1.i = ______split_137_44_1_2_1_1; + ______split_137_45_1_2_1_1 = _____acc_44_1_2_1_1.i + 1; + _____acc_45_1_2_1_1.i = ______split_137_45_1_2_1_1; + ______split_137_46_1_2_1_1 = _____acc_45_1_2_1_1.i + 1; + _____acc_46_1_2_1_1.i = ______split_137_46_1_2_1_1; + ______split_137_47_1_2_1_1 = _____acc_46_1_2_1_1.i + 1; + _____acc_47_1_2_1_1.i = ______split_137_47_1_2_1_1; + ______split_137_48_1_2_1_1 = _____acc_47_1_2_1_1.i + 1; + _____acc_48_1_2_1_1.i = ______split_137_48_1_2_1_1; + ______split_137_49_1_2_1_1 = _____acc_48_1_2_1_1.i + 1; + _____acc_49_1_2_1_1.i = ______split_137_49_1_2_1_1; + Lustre_pre_2_get(&___split_126_1_1,&ctx->Lustre_pre_2_ctx_tab[1]); + Lustre_arrow_2_step(0,___split_126_1_1,&___split_127_1_1,&ctx->Lustre_arrow_2_ctx_tab[1]); + __i_2_1 = ___split_127_1_1 + 1; + ___split_130_1_1 = __i_2_1 % 50; + ____split_3_2_1_1.j = ___split_130_1_1; + _____acc_1_1_2_1_1.j = ____split_3_2_1_1.j; + _____acc_2_1_2_1_1.j = _____acc_1_1_2_1_1.j; + _____acc_3_1_2_1_1.j = _____acc_2_1_2_1_1.j; + _____acc_4_1_2_1_1.j = _____acc_3_1_2_1_1.j; + _____acc_5_1_2_1_1.j = _____acc_4_1_2_1_1.j; + _____acc_6_1_2_1_1.j = _____acc_5_1_2_1_1.j; + _____acc_7_1_2_1_1.j = _____acc_6_1_2_1_1.j; + _____acc_8_1_2_1_1.j = _____acc_7_1_2_1_1.j; + _____acc_9_1_2_1_1.j = _____acc_8_1_2_1_1.j; + _____acc_10_1_2_1_1.j = _____acc_9_1_2_1_1.j; + _____acc_11_1_2_1_1.j = _____acc_10_1_2_1_1.j; + _____acc_12_1_2_1_1.j = _____acc_11_1_2_1_1.j; + _____acc_13_1_2_1_1.j = _____acc_12_1_2_1_1.j; + _____acc_14_1_2_1_1.j = _____acc_13_1_2_1_1.j; + _____acc_15_1_2_1_1.j = _____acc_14_1_2_1_1.j; + _____acc_16_1_2_1_1.j = _____acc_15_1_2_1_1.j; + _____acc_17_1_2_1_1.j = _____acc_16_1_2_1_1.j; + _____acc_18_1_2_1_1.j = _____acc_17_1_2_1_1.j; + _____acc_19_1_2_1_1.j = _____acc_18_1_2_1_1.j; + _____acc_20_1_2_1_1.j = _____acc_19_1_2_1_1.j; + _____acc_21_1_2_1_1.j = _____acc_20_1_2_1_1.j; + _____acc_22_1_2_1_1.j = _____acc_21_1_2_1_1.j; + _____acc_23_1_2_1_1.j = _____acc_22_1_2_1_1.j; + _____acc_24_1_2_1_1.j = _____acc_23_1_2_1_1.j; + _____acc_25_1_2_1_1.j = _____acc_24_1_2_1_1.j; + _____acc_26_1_2_1_1.j = _____acc_25_1_2_1_1.j; + _____acc_27_1_2_1_1.j = _____acc_26_1_2_1_1.j; + _____acc_28_1_2_1_1.j = _____acc_27_1_2_1_1.j; + _____acc_29_1_2_1_1.j = _____acc_28_1_2_1_1.j; + _____acc_30_1_2_1_1.j = _____acc_29_1_2_1_1.j; + _____acc_31_1_2_1_1.j = _____acc_30_1_2_1_1.j; + _____acc_32_1_2_1_1.j = _____acc_31_1_2_1_1.j; + _____acc_33_1_2_1_1.j = _____acc_32_1_2_1_1.j; + _____acc_34_1_2_1_1.j = _____acc_33_1_2_1_1.j; + _____acc_35_1_2_1_1.j = _____acc_34_1_2_1_1.j; + _____acc_36_1_2_1_1.j = _____acc_35_1_2_1_1.j; + _____acc_37_1_2_1_1.j = _____acc_36_1_2_1_1.j; + _____acc_38_1_2_1_1.j = _____acc_37_1_2_1_1.j; + _____acc_39_1_2_1_1.j = _____acc_38_1_2_1_1.j; + _____acc_40_1_2_1_1.j = _____acc_39_1_2_1_1.j; + _____acc_41_1_2_1_1.j = _____acc_40_1_2_1_1.j; + _____acc_42_1_2_1_1.j = _____acc_41_1_2_1_1.j; + _____acc_43_1_2_1_1.j = _____acc_42_1_2_1_1.j; + _____acc_44_1_2_1_1.j = _____acc_43_1_2_1_1.j; + _____acc_45_1_2_1_1.j = _____acc_44_1_2_1_1.j; + _____acc_46_1_2_1_1.j = _____acc_45_1_2_1_1.j; + _____acc_47_1_2_1_1.j = _____acc_46_1_2_1_1.j; + _____acc_48_1_2_1_1.j = _____acc_47_1_2_1_1.j; + _____acc_49_1_2_1_1.j = _____acc_48_1_2_1_1.j; + ______split_136_50_1_2_1_1 = _____acc_49_1_2_1_1.i == _____acc_49_1_2_1_1.j; + Lustre_pre_3_get(___split_129_1_1,&ctx->Lustre_pre_3_ctx_tab[0]); + Lustre_hat_step(0.0,___split_128_1_1); + Lustre_arrow_3_step(___split_128_1_1,___split_129_1_1,__pre_a_2_1,&ctx->Lustre_arrow_3_ctx_tab[0]); + _____cell_50_1_2_1_1 = __pre_a_2_1[49]; + if (______split_136_50_1_2_1_1 == _true) { + _____ncell_50_1_2_1_1 = _____acc_49_1_2_1_1.v; + } else { + _____ncell_50_1_2_1_1 = _____cell_50_1_2_1_1; + } + __a_3_1[49] = _____ncell_50_1_2_1_1; + ______split_136_49_1_2_1_1 = _____acc_48_1_2_1_1.i == _____acc_48_1_2_1_1.j; + _____cell_49_1_2_1_1 = __pre_a_2_1[48]; + if (______split_136_49_1_2_1_1 == _true) { + _____ncell_49_1_2_1_1 = _____acc_48_1_2_1_1.v; + } else { + _____ncell_49_1_2_1_1 = _____cell_49_1_2_1_1; + } + __a_3_1[48] = _____ncell_49_1_2_1_1; + ______split_136_48_1_2_1_1 = _____acc_47_1_2_1_1.i == _____acc_47_1_2_1_1.j; + _____cell_48_1_2_1_1 = __pre_a_2_1[47]; + if (______split_136_48_1_2_1_1 == _true) { + _____ncell_48_1_2_1_1 = _____acc_47_1_2_1_1.v; + } else { + _____ncell_48_1_2_1_1 = _____cell_48_1_2_1_1; + } + __a_3_1[47] = _____ncell_48_1_2_1_1; + ______split_136_47_1_2_1_1 = _____acc_46_1_2_1_1.i == _____acc_46_1_2_1_1.j; + _____cell_47_1_2_1_1 = __pre_a_2_1[46]; + if (______split_136_47_1_2_1_1 == _true) { + _____ncell_47_1_2_1_1 = _____acc_46_1_2_1_1.v; + } else { + _____ncell_47_1_2_1_1 = _____cell_47_1_2_1_1; + } + __a_3_1[46] = _____ncell_47_1_2_1_1; + ______split_136_46_1_2_1_1 = _____acc_45_1_2_1_1.i == _____acc_45_1_2_1_1.j; + _____cell_46_1_2_1_1 = __pre_a_2_1[45]; + if (______split_136_46_1_2_1_1 == _true) { + _____ncell_46_1_2_1_1 = _____acc_45_1_2_1_1.v; + } else { + _____ncell_46_1_2_1_1 = _____cell_46_1_2_1_1; + } + __a_3_1[45] = _____ncell_46_1_2_1_1; + ______split_136_45_1_2_1_1 = _____acc_44_1_2_1_1.i == _____acc_44_1_2_1_1.j; + _____cell_45_1_2_1_1 = __pre_a_2_1[44]; + if (______split_136_45_1_2_1_1 == _true) { + _____ncell_45_1_2_1_1 = _____acc_44_1_2_1_1.v; + } else { + _____ncell_45_1_2_1_1 = _____cell_45_1_2_1_1; + } + __a_3_1[44] = _____ncell_45_1_2_1_1; + ______split_136_44_1_2_1_1 = _____acc_43_1_2_1_1.i == _____acc_43_1_2_1_1.j; + _____cell_44_1_2_1_1 = __pre_a_2_1[43]; + if (______split_136_44_1_2_1_1 == _true) { + _____ncell_44_1_2_1_1 = _____acc_43_1_2_1_1.v; + } else { + _____ncell_44_1_2_1_1 = _____cell_44_1_2_1_1; + } + __a_3_1[43] = _____ncell_44_1_2_1_1; + ______split_136_43_1_2_1_1 = _____acc_42_1_2_1_1.i == _____acc_42_1_2_1_1.j; + _____cell_43_1_2_1_1 = __pre_a_2_1[42]; + if (______split_136_43_1_2_1_1 == _true) { + _____ncell_43_1_2_1_1 = _____acc_42_1_2_1_1.v; + } else { + _____ncell_43_1_2_1_1 = _____cell_43_1_2_1_1; + } + __a_3_1[42] = _____ncell_43_1_2_1_1; + ______split_136_42_1_2_1_1 = _____acc_41_1_2_1_1.i == _____acc_41_1_2_1_1.j; + _____cell_42_1_2_1_1 = __pre_a_2_1[41]; + if (______split_136_42_1_2_1_1 == _true) { + _____ncell_42_1_2_1_1 = _____acc_41_1_2_1_1.v; + } else { + _____ncell_42_1_2_1_1 = _____cell_42_1_2_1_1; + } + __a_3_1[41] = _____ncell_42_1_2_1_1; + ______split_136_41_1_2_1_1 = _____acc_40_1_2_1_1.i == _____acc_40_1_2_1_1.j; + _____cell_41_1_2_1_1 = __pre_a_2_1[40]; + if (______split_136_41_1_2_1_1 == _true) { + _____ncell_41_1_2_1_1 = _____acc_40_1_2_1_1.v; + } else { + _____ncell_41_1_2_1_1 = _____cell_41_1_2_1_1; + } + __a_3_1[40] = _____ncell_41_1_2_1_1; + ______split_136_40_1_2_1_1 = _____acc_39_1_2_1_1.i == _____acc_39_1_2_1_1.j; + _____cell_40_1_2_1_1 = __pre_a_2_1[39]; + if (______split_136_40_1_2_1_1 == _true) { + _____ncell_40_1_2_1_1 = _____acc_39_1_2_1_1.v; + } else { + _____ncell_40_1_2_1_1 = _____cell_40_1_2_1_1; + } + __a_3_1[39] = _____ncell_40_1_2_1_1; + ______split_136_39_1_2_1_1 = _____acc_38_1_2_1_1.i == _____acc_38_1_2_1_1.j; + _____cell_39_1_2_1_1 = __pre_a_2_1[38]; + if (______split_136_39_1_2_1_1 == _true) { + _____ncell_39_1_2_1_1 = _____acc_38_1_2_1_1.v; + } else { + _____ncell_39_1_2_1_1 = _____cell_39_1_2_1_1; + } + __a_3_1[38] = _____ncell_39_1_2_1_1; + ______split_136_38_1_2_1_1 = _____acc_37_1_2_1_1.i == _____acc_37_1_2_1_1.j; + _____cell_38_1_2_1_1 = __pre_a_2_1[37]; + if (______split_136_38_1_2_1_1 == _true) { + _____ncell_38_1_2_1_1 = _____acc_37_1_2_1_1.v; + } else { + _____ncell_38_1_2_1_1 = _____cell_38_1_2_1_1; + } + __a_3_1[37] = _____ncell_38_1_2_1_1; + ______split_136_37_1_2_1_1 = _____acc_36_1_2_1_1.i == _____acc_36_1_2_1_1.j; + _____cell_37_1_2_1_1 = __pre_a_2_1[36]; + if (______split_136_37_1_2_1_1 == _true) { + _____ncell_37_1_2_1_1 = _____acc_36_1_2_1_1.v; + } else { + _____ncell_37_1_2_1_1 = _____cell_37_1_2_1_1; + } + __a_3_1[36] = _____ncell_37_1_2_1_1; + ______split_136_36_1_2_1_1 = _____acc_35_1_2_1_1.i == _____acc_35_1_2_1_1.j; + _____cell_36_1_2_1_1 = __pre_a_2_1[35]; + if (______split_136_36_1_2_1_1 == _true) { + _____ncell_36_1_2_1_1 = _____acc_35_1_2_1_1.v; + } else { + _____ncell_36_1_2_1_1 = _____cell_36_1_2_1_1; + } + __a_3_1[35] = _____ncell_36_1_2_1_1; + ______split_136_35_1_2_1_1 = _____acc_34_1_2_1_1.i == _____acc_34_1_2_1_1.j; + _____cell_35_1_2_1_1 = __pre_a_2_1[34]; + if (______split_136_35_1_2_1_1 == _true) { + _____ncell_35_1_2_1_1 = _____acc_34_1_2_1_1.v; + } else { + _____ncell_35_1_2_1_1 = _____cell_35_1_2_1_1; + } + __a_3_1[34] = _____ncell_35_1_2_1_1; + ______split_136_34_1_2_1_1 = _____acc_33_1_2_1_1.i == _____acc_33_1_2_1_1.j; + _____cell_34_1_2_1_1 = __pre_a_2_1[33]; + if (______split_136_34_1_2_1_1 == _true) { + _____ncell_34_1_2_1_1 = _____acc_33_1_2_1_1.v; + } else { + _____ncell_34_1_2_1_1 = _____cell_34_1_2_1_1; + } + __a_3_1[33] = _____ncell_34_1_2_1_1; + ______split_136_33_1_2_1_1 = _____acc_32_1_2_1_1.i == _____acc_32_1_2_1_1.j; + _____cell_33_1_2_1_1 = __pre_a_2_1[32]; + if (______split_136_33_1_2_1_1 == _true) { + _____ncell_33_1_2_1_1 = _____acc_32_1_2_1_1.v; + } else { + _____ncell_33_1_2_1_1 = _____cell_33_1_2_1_1; + } + __a_3_1[32] = _____ncell_33_1_2_1_1; + ______split_136_32_1_2_1_1 = _____acc_31_1_2_1_1.i == _____acc_31_1_2_1_1.j; + _____cell_32_1_2_1_1 = __pre_a_2_1[31]; + if (______split_136_32_1_2_1_1 == _true) { + _____ncell_32_1_2_1_1 = _____acc_31_1_2_1_1.v; + } else { + _____ncell_32_1_2_1_1 = _____cell_32_1_2_1_1; + } + __a_3_1[31] = _____ncell_32_1_2_1_1; + ______split_136_31_1_2_1_1 = _____acc_30_1_2_1_1.i == _____acc_30_1_2_1_1.j; + _____cell_31_1_2_1_1 = __pre_a_2_1[30]; + if (______split_136_31_1_2_1_1 == _true) { + _____ncell_31_1_2_1_1 = _____acc_30_1_2_1_1.v; + } else { + _____ncell_31_1_2_1_1 = _____cell_31_1_2_1_1; + } + __a_3_1[30] = _____ncell_31_1_2_1_1; + ______split_136_30_1_2_1_1 = _____acc_29_1_2_1_1.i == _____acc_29_1_2_1_1.j; + _____cell_30_1_2_1_1 = __pre_a_2_1[29]; + if (______split_136_30_1_2_1_1 == _true) { + _____ncell_30_1_2_1_1 = _____acc_29_1_2_1_1.v; + } else { + _____ncell_30_1_2_1_1 = _____cell_30_1_2_1_1; + } + __a_3_1[29] = _____ncell_30_1_2_1_1; + ______split_136_29_1_2_1_1 = _____acc_28_1_2_1_1.i == _____acc_28_1_2_1_1.j; + _____cell_29_1_2_1_1 = __pre_a_2_1[28]; + if (______split_136_29_1_2_1_1 == _true) { + _____ncell_29_1_2_1_1 = _____acc_28_1_2_1_1.v; + } else { + _____ncell_29_1_2_1_1 = _____cell_29_1_2_1_1; + } + __a_3_1[28] = _____ncell_29_1_2_1_1; + ______split_136_28_1_2_1_1 = _____acc_27_1_2_1_1.i == _____acc_27_1_2_1_1.j; + _____cell_28_1_2_1_1 = __pre_a_2_1[27]; + if (______split_136_28_1_2_1_1 == _true) { + _____ncell_28_1_2_1_1 = _____acc_27_1_2_1_1.v; + } else { + _____ncell_28_1_2_1_1 = _____cell_28_1_2_1_1; + } + __a_3_1[27] = _____ncell_28_1_2_1_1; + ______split_136_27_1_2_1_1 = _____acc_26_1_2_1_1.i == _____acc_26_1_2_1_1.j; + _____cell_27_1_2_1_1 = __pre_a_2_1[26]; + if (______split_136_27_1_2_1_1 == _true) { + _____ncell_27_1_2_1_1 = _____acc_26_1_2_1_1.v; + } else { + _____ncell_27_1_2_1_1 = _____cell_27_1_2_1_1; + } + __a_3_1[26] = _____ncell_27_1_2_1_1; + ______split_136_26_1_2_1_1 = _____acc_25_1_2_1_1.i == _____acc_25_1_2_1_1.j; + _____cell_26_1_2_1_1 = __pre_a_2_1[25]; + if (______split_136_26_1_2_1_1 == _true) { + _____ncell_26_1_2_1_1 = _____acc_25_1_2_1_1.v; + } else { + _____ncell_26_1_2_1_1 = _____cell_26_1_2_1_1; + } + __a_3_1[25] = _____ncell_26_1_2_1_1; + ______split_136_25_1_2_1_1 = _____acc_24_1_2_1_1.i == _____acc_24_1_2_1_1.j; + _____cell_25_1_2_1_1 = __pre_a_2_1[24]; + if (______split_136_25_1_2_1_1 == _true) { + _____ncell_25_1_2_1_1 = _____acc_24_1_2_1_1.v; + } else { + _____ncell_25_1_2_1_1 = _____cell_25_1_2_1_1; + } + __a_3_1[24] = _____ncell_25_1_2_1_1; + ______split_136_24_1_2_1_1 = _____acc_23_1_2_1_1.i == _____acc_23_1_2_1_1.j; + _____cell_24_1_2_1_1 = __pre_a_2_1[23]; + if (______split_136_24_1_2_1_1 == _true) { + _____ncell_24_1_2_1_1 = _____acc_23_1_2_1_1.v; + } else { + _____ncell_24_1_2_1_1 = _____cell_24_1_2_1_1; + } + __a_3_1[23] = _____ncell_24_1_2_1_1; + ______split_136_23_1_2_1_1 = _____acc_22_1_2_1_1.i == _____acc_22_1_2_1_1.j; + _____cell_23_1_2_1_1 = __pre_a_2_1[22]; + if (______split_136_23_1_2_1_1 == _true) { + _____ncell_23_1_2_1_1 = _____acc_22_1_2_1_1.v; + } else { + _____ncell_23_1_2_1_1 = _____cell_23_1_2_1_1; + } + __a_3_1[22] = _____ncell_23_1_2_1_1; + ______split_136_22_1_2_1_1 = _____acc_21_1_2_1_1.i == _____acc_21_1_2_1_1.j; + _____cell_22_1_2_1_1 = __pre_a_2_1[21]; + if (______split_136_22_1_2_1_1 == _true) { + _____ncell_22_1_2_1_1 = _____acc_21_1_2_1_1.v; + } else { + _____ncell_22_1_2_1_1 = _____cell_22_1_2_1_1; + } + __a_3_1[21] = _____ncell_22_1_2_1_1; + ______split_136_21_1_2_1_1 = _____acc_20_1_2_1_1.i == _____acc_20_1_2_1_1.j; + _____cell_21_1_2_1_1 = __pre_a_2_1[20]; + if (______split_136_21_1_2_1_1 == _true) { + _____ncell_21_1_2_1_1 = _____acc_20_1_2_1_1.v; + } else { + _____ncell_21_1_2_1_1 = _____cell_21_1_2_1_1; + } + __a_3_1[20] = _____ncell_21_1_2_1_1; + ______split_136_20_1_2_1_1 = _____acc_19_1_2_1_1.i == _____acc_19_1_2_1_1.j; + _____cell_20_1_2_1_1 = __pre_a_2_1[19]; + if (______split_136_20_1_2_1_1 == _true) { + _____ncell_20_1_2_1_1 = _____acc_19_1_2_1_1.v; + } else { + _____ncell_20_1_2_1_1 = _____cell_20_1_2_1_1; + } + __a_3_1[19] = _____ncell_20_1_2_1_1; + ______split_136_19_1_2_1_1 = _____acc_18_1_2_1_1.i == _____acc_18_1_2_1_1.j; + _____cell_19_1_2_1_1 = __pre_a_2_1[18]; + if (______split_136_19_1_2_1_1 == _true) { + _____ncell_19_1_2_1_1 = _____acc_18_1_2_1_1.v; + } else { + _____ncell_19_1_2_1_1 = _____cell_19_1_2_1_1; + } + __a_3_1[18] = _____ncell_19_1_2_1_1; + ______split_136_18_1_2_1_1 = _____acc_17_1_2_1_1.i == _____acc_17_1_2_1_1.j; + _____cell_18_1_2_1_1 = __pre_a_2_1[17]; + if (______split_136_18_1_2_1_1 == _true) { + _____ncell_18_1_2_1_1 = _____acc_17_1_2_1_1.v; + } else { + _____ncell_18_1_2_1_1 = _____cell_18_1_2_1_1; + } + __a_3_1[17] = _____ncell_18_1_2_1_1; + ______split_136_17_1_2_1_1 = _____acc_16_1_2_1_1.i == _____acc_16_1_2_1_1.j; + _____cell_17_1_2_1_1 = __pre_a_2_1[16]; + if (______split_136_17_1_2_1_1 == _true) { + _____ncell_17_1_2_1_1 = _____acc_16_1_2_1_1.v; + } else { + _____ncell_17_1_2_1_1 = _____cell_17_1_2_1_1; + } + __a_3_1[16] = _____ncell_17_1_2_1_1; + ______split_136_16_1_2_1_1 = _____acc_15_1_2_1_1.i == _____acc_15_1_2_1_1.j; + _____cell_16_1_2_1_1 = __pre_a_2_1[15]; + if (______split_136_16_1_2_1_1 == _true) { + _____ncell_16_1_2_1_1 = _____acc_15_1_2_1_1.v; + } else { + _____ncell_16_1_2_1_1 = _____cell_16_1_2_1_1; + } + __a_3_1[15] = _____ncell_16_1_2_1_1; + ______split_136_15_1_2_1_1 = _____acc_14_1_2_1_1.i == _____acc_14_1_2_1_1.j; + _____cell_15_1_2_1_1 = __pre_a_2_1[14]; + if (______split_136_15_1_2_1_1 == _true) { + _____ncell_15_1_2_1_1 = _____acc_14_1_2_1_1.v; + } else { + _____ncell_15_1_2_1_1 = _____cell_15_1_2_1_1; + } + __a_3_1[14] = _____ncell_15_1_2_1_1; + ______split_136_14_1_2_1_1 = _____acc_13_1_2_1_1.i == _____acc_13_1_2_1_1.j; + _____cell_14_1_2_1_1 = __pre_a_2_1[13]; + if (______split_136_14_1_2_1_1 == _true) { + _____ncell_14_1_2_1_1 = _____acc_13_1_2_1_1.v; + } else { + _____ncell_14_1_2_1_1 = _____cell_14_1_2_1_1; + } + __a_3_1[13] = _____ncell_14_1_2_1_1; + ______split_136_13_1_2_1_1 = _____acc_12_1_2_1_1.i == _____acc_12_1_2_1_1.j; + _____cell_13_1_2_1_1 = __pre_a_2_1[12]; + if (______split_136_13_1_2_1_1 == _true) { + _____ncell_13_1_2_1_1 = _____acc_12_1_2_1_1.v; + } else { + _____ncell_13_1_2_1_1 = _____cell_13_1_2_1_1; + } + __a_3_1[12] = _____ncell_13_1_2_1_1; + ______split_136_12_1_2_1_1 = _____acc_11_1_2_1_1.i == _____acc_11_1_2_1_1.j; + _____cell_12_1_2_1_1 = __pre_a_2_1[11]; + if (______split_136_12_1_2_1_1 == _true) { + _____ncell_12_1_2_1_1 = _____acc_11_1_2_1_1.v; + } else { + _____ncell_12_1_2_1_1 = _____cell_12_1_2_1_1; + } + __a_3_1[11] = _____ncell_12_1_2_1_1; + ______split_136_11_1_2_1_1 = _____acc_10_1_2_1_1.i == _____acc_10_1_2_1_1.j; + _____cell_11_1_2_1_1 = __pre_a_2_1[10]; + if (______split_136_11_1_2_1_1 == _true) { + _____ncell_11_1_2_1_1 = _____acc_10_1_2_1_1.v; + } else { + _____ncell_11_1_2_1_1 = _____cell_11_1_2_1_1; + } + __a_3_1[10] = _____ncell_11_1_2_1_1; + ______split_136_10_1_2_1_1 = _____acc_9_1_2_1_1.i == _____acc_9_1_2_1_1.j; + _____cell_10_1_2_1_1 = __pre_a_2_1[9]; + if (______split_136_10_1_2_1_1 == _true) { + _____ncell_10_1_2_1_1 = _____acc_9_1_2_1_1.v; + } else { + _____ncell_10_1_2_1_1 = _____cell_10_1_2_1_1; + } + __a_3_1[9] = _____ncell_10_1_2_1_1; + ______split_136_9_1_2_1_1 = _____acc_8_1_2_1_1.i == _____acc_8_1_2_1_1.j; + _____cell_9_1_2_1_1 = __pre_a_2_1[8]; + if (______split_136_9_1_2_1_1 == _true) { + _____ncell_9_1_2_1_1 = _____acc_8_1_2_1_1.v; + } else { + _____ncell_9_1_2_1_1 = _____cell_9_1_2_1_1; + } + __a_3_1[8] = _____ncell_9_1_2_1_1; + ______split_136_8_1_2_1_1 = _____acc_7_1_2_1_1.i == _____acc_7_1_2_1_1.j; + _____cell_8_1_2_1_1 = __pre_a_2_1[7]; + if (______split_136_8_1_2_1_1 == _true) { + _____ncell_8_1_2_1_1 = _____acc_7_1_2_1_1.v; + } else { + _____ncell_8_1_2_1_1 = _____cell_8_1_2_1_1; + } + __a_3_1[7] = _____ncell_8_1_2_1_1; + ______split_136_7_1_2_1_1 = _____acc_6_1_2_1_1.i == _____acc_6_1_2_1_1.j; + _____cell_7_1_2_1_1 = __pre_a_2_1[6]; + if (______split_136_7_1_2_1_1 == _true) { + _____ncell_7_1_2_1_1 = _____acc_6_1_2_1_1.v; + } else { + _____ncell_7_1_2_1_1 = _____cell_7_1_2_1_1; + } + __a_3_1[6] = _____ncell_7_1_2_1_1; + ______split_136_6_1_2_1_1 = _____acc_5_1_2_1_1.i == _____acc_5_1_2_1_1.j; + _____cell_6_1_2_1_1 = __pre_a_2_1[5]; + if (______split_136_6_1_2_1_1 == _true) { + _____ncell_6_1_2_1_1 = _____acc_5_1_2_1_1.v; + } else { + _____ncell_6_1_2_1_1 = _____cell_6_1_2_1_1; + } + __a_3_1[5] = _____ncell_6_1_2_1_1; + ______split_136_5_1_2_1_1 = _____acc_4_1_2_1_1.i == _____acc_4_1_2_1_1.j; + _____cell_5_1_2_1_1 = __pre_a_2_1[4]; + if (______split_136_5_1_2_1_1 == _true) { + _____ncell_5_1_2_1_1 = _____acc_4_1_2_1_1.v; + } else { + _____ncell_5_1_2_1_1 = _____cell_5_1_2_1_1; + } + __a_3_1[4] = _____ncell_5_1_2_1_1; + ______split_136_4_1_2_1_1 = _____acc_3_1_2_1_1.i == _____acc_3_1_2_1_1.j; + _____cell_4_1_2_1_1 = __pre_a_2_1[3]; + if (______split_136_4_1_2_1_1 == _true) { + _____ncell_4_1_2_1_1 = _____acc_3_1_2_1_1.v; + } else { + _____ncell_4_1_2_1_1 = _____cell_4_1_2_1_1; + } + __a_3_1[3] = _____ncell_4_1_2_1_1; + ______split_136_3_1_2_1_1 = _____acc_2_1_2_1_1.i == _____acc_2_1_2_1_1.j; + _____cell_3_1_2_1_1 = __pre_a_2_1[2]; + if (______split_136_3_1_2_1_1 == _true) { + _____ncell_3_1_2_1_1 = _____acc_2_1_2_1_1.v; + } else { + _____ncell_3_1_2_1_1 = _____cell_3_1_2_1_1; + } + __a_3_1[2] = _____ncell_3_1_2_1_1; + ______split_136_2_1_2_1_1 = _____acc_1_1_2_1_1.i == _____acc_1_1_2_1_1.j; + _____cell_2_1_2_1_1 = __pre_a_2_1[1]; + if (______split_136_2_1_2_1_1 == _true) { + _____ncell_2_1_2_1_1 = _____acc_1_1_2_1_1.v; + } else { + _____ncell_2_1_2_1_1 = _____cell_2_1_2_1_1; + } + __a_3_1[1] = _____ncell_2_1_2_1_1; + ______split_136_1_1_2_1_1 = ____split_3_2_1_1.i == ____split_3_2_1_1.j; + _____cell_1_1_2_1_1 = __pre_a_2_1[0]; + if (______split_136_1_1_2_1_1 == _true) { + _____ncell_1_1_2_1_1 = ____split_3_2_1_1.v; + } else { + _____ncell_1_1_2_1_1 = _____cell_1_1_2_1_1; + } + __a_3_1[0] = _____ncell_1_1_2_1_1; + ___i1_2_1_1 = 0.0; + ____acc_50_2_1_1 = ___i1_2_1_1 + __a_3_1[0]; + ____acc_51_2_1_1 = ____acc_50_2_1_1 + __a_3_1[1]; + ____acc_52_2_1_1 = ____acc_51_2_1_1 + __a_3_1[2]; + ____acc_53_2_1_1 = ____acc_52_2_1_1 + __a_3_1[3]; + ____acc_54_2_1_1 = ____acc_53_2_1_1 + __a_3_1[4]; + ____acc_55_2_1_1 = ____acc_54_2_1_1 + __a_3_1[5]; + ____acc_56_2_1_1 = ____acc_55_2_1_1 + __a_3_1[6]; + ____acc_57_2_1_1 = ____acc_56_2_1_1 + __a_3_1[7]; + ____acc_58_2_1_1 = ____acc_57_2_1_1 + __a_3_1[8]; + ____acc_59_2_1_1 = ____acc_58_2_1_1 + __a_3_1[9]; + ____acc_60_2_1_1 = ____acc_59_2_1_1 + __a_3_1[10]; + ____acc_61_2_1_1 = ____acc_60_2_1_1 + __a_3_1[11]; + ____acc_62_2_1_1 = ____acc_61_2_1_1 + __a_3_1[12]; + ____acc_63_2_1_1 = ____acc_62_2_1_1 + __a_3_1[13]; + ____acc_64_2_1_1 = ____acc_63_2_1_1 + __a_3_1[14]; + ____acc_65_2_1_1 = ____acc_64_2_1_1 + __a_3_1[15]; + ____acc_66_2_1_1 = ____acc_65_2_1_1 + __a_3_1[16]; + ____acc_67_2_1_1 = ____acc_66_2_1_1 + __a_3_1[17]; + ____acc_68_2_1_1 = ____acc_67_2_1_1 + __a_3_1[18]; + ____acc_69_2_1_1 = ____acc_68_2_1_1 + __a_3_1[19]; + ____acc_70_2_1_1 = ____acc_69_2_1_1 + __a_3_1[20]; + ____acc_71_2_1_1 = ____acc_70_2_1_1 + __a_3_1[21]; + ____acc_72_2_1_1 = ____acc_71_2_1_1 + __a_3_1[22]; + ____acc_73_2_1_1 = ____acc_72_2_1_1 + __a_3_1[23]; + ____acc_74_2_1_1 = ____acc_73_2_1_1 + __a_3_1[24]; + ____acc_75_2_1_1 = ____acc_74_2_1_1 + __a_3_1[25]; + ____acc_76_2_1_1 = ____acc_75_2_1_1 + __a_3_1[26]; + ____acc_77_2_1_1 = ____acc_76_2_1_1 + __a_3_1[27]; + ____acc_78_2_1_1 = ____acc_77_2_1_1 + __a_3_1[28]; + ____acc_79_2_1_1 = ____acc_78_2_1_1 + __a_3_1[29]; + ____acc_80_2_1_1 = ____acc_79_2_1_1 + __a_3_1[30]; + ____acc_81_2_1_1 = ____acc_80_2_1_1 + __a_3_1[31]; + ____acc_82_2_1_1 = ____acc_81_2_1_1 + __a_3_1[32]; + ____acc_83_2_1_1 = ____acc_82_2_1_1 + __a_3_1[33]; + ____acc_84_2_1_1 = ____acc_83_2_1_1 + __a_3_1[34]; + ____acc_85_2_1_1 = ____acc_84_2_1_1 + __a_3_1[35]; + ____acc_86_2_1_1 = ____acc_85_2_1_1 + __a_3_1[36]; + ____acc_87_2_1_1 = ____acc_86_2_1_1 + __a_3_1[37]; + ____acc_88_2_1_1 = ____acc_87_2_1_1 + __a_3_1[38]; + ____acc_89_2_1_1 = ____acc_88_2_1_1 + __a_3_1[39]; + ____acc_90_2_1_1 = ____acc_89_2_1_1 + __a_3_1[40]; + ____acc_91_2_1_1 = ____acc_90_2_1_1 + __a_3_1[41]; + ____acc_92_2_1_1 = ____acc_91_2_1_1 + __a_3_1[42]; + ____acc_93_2_1_1 = ____acc_92_2_1_1 + __a_3_1[43]; + ____acc_94_2_1_1 = ____acc_93_2_1_1 + __a_3_1[44]; + ____acc_95_2_1_1 = ____acc_94_2_1_1 + __a_3_1[45]; + ____acc_96_2_1_1 = ____acc_95_2_1_1 + __a_3_1[46]; + ____acc_97_2_1_1 = ____acc_96_2_1_1 + __a_3_1[47]; + ____acc_98_2_1_1 = ____acc_97_2_1_1 + __a_3_1[48]; + __split_82_1 = ____acc_98_2_1_1 + __a_3_1[49]; + _d_1 = __split_82_1; + break; +} + Lustre_pre_set(_d_1,&ctx->Lustre_pre_ctx_tab[1]); + Lustre_pre_get(&__split_79_1,&ctx->Lustre_pre_ctx_tab[2]); + __x_13_1 = 0.1; + Lustre_arrow_step(0.0,__split_79_1,&_pt_1,&ctx->Lustre_arrow_ctx_tab[2]); + switch (_TickOrRot_1){ + case _false: + __split_85_1 = _pt_1; + __split_86_1 = __split_85_1; + break; + case _true: + __split_83_1 = _tx_1; + ____split_3_1_1_1.v = __split_83_1; + _____acc_1_1_1_1_1.v = ____split_3_1_1_1.v; + _____acc_2_1_1_1_1.v = _____acc_1_1_1_1_1.v; + _____acc_3_1_1_1_1.v = _____acc_2_1_1_1_1.v; + _____acc_4_1_1_1_1.v = _____acc_3_1_1_1_1.v; + _____acc_5_1_1_1_1.v = _____acc_4_1_1_1_1.v; + _____acc_6_1_1_1_1.v = _____acc_5_1_1_1_1.v; + _____acc_7_1_1_1_1.v = _____acc_6_1_1_1_1.v; + _____acc_8_1_1_1_1.v = _____acc_7_1_1_1_1.v; + _____acc_9_1_1_1_1.v = _____acc_8_1_1_1_1.v; + _____acc_10_1_1_1_1.v = _____acc_9_1_1_1_1.v; + _____acc_11_1_1_1_1.v = _____acc_10_1_1_1_1.v; + _____acc_12_1_1_1_1.v = _____acc_11_1_1_1_1.v; + _____acc_13_1_1_1_1.v = _____acc_12_1_1_1_1.v; + _____acc_14_1_1_1_1.v = _____acc_13_1_1_1_1.v; + _____acc_15_1_1_1_1.v = _____acc_14_1_1_1_1.v; + _____acc_16_1_1_1_1.v = _____acc_15_1_1_1_1.v; + _____acc_17_1_1_1_1.v = _____acc_16_1_1_1_1.v; + _____acc_18_1_1_1_1.v = _____acc_17_1_1_1_1.v; + _____acc_19_1_1_1_1.v = _____acc_18_1_1_1_1.v; + _____acc_20_1_1_1_1.v = _____acc_19_1_1_1_1.v; + _____acc_21_1_1_1_1.v = _____acc_20_1_1_1_1.v; + _____acc_22_1_1_1_1.v = _____acc_21_1_1_1_1.v; + _____acc_23_1_1_1_1.v = _____acc_22_1_1_1_1.v; + _____acc_24_1_1_1_1.v = _____acc_23_1_1_1_1.v; + _____acc_25_1_1_1_1.v = _____acc_24_1_1_1_1.v; + _____acc_26_1_1_1_1.v = _____acc_25_1_1_1_1.v; + _____acc_27_1_1_1_1.v = _____acc_26_1_1_1_1.v; + _____acc_28_1_1_1_1.v = _____acc_27_1_1_1_1.v; + _____acc_29_1_1_1_1.v = _____acc_28_1_1_1_1.v; + _____acc_30_1_1_1_1.v = _____acc_29_1_1_1_1.v; + _____acc_31_1_1_1_1.v = _____acc_30_1_1_1_1.v; + _____acc_32_1_1_1_1.v = _____acc_31_1_1_1_1.v; + _____acc_33_1_1_1_1.v = _____acc_32_1_1_1_1.v; + _____acc_34_1_1_1_1.v = _____acc_33_1_1_1_1.v; + _____acc_35_1_1_1_1.v = _____acc_34_1_1_1_1.v; + _____acc_36_1_1_1_1.v = _____acc_35_1_1_1_1.v; + _____acc_37_1_1_1_1.v = _____acc_36_1_1_1_1.v; + _____acc_38_1_1_1_1.v = _____acc_37_1_1_1_1.v; + _____acc_39_1_1_1_1.v = _____acc_38_1_1_1_1.v; + _____acc_40_1_1_1_1.v = _____acc_39_1_1_1_1.v; + _____acc_41_1_1_1_1.v = _____acc_40_1_1_1_1.v; + _____acc_42_1_1_1_1.v = _____acc_41_1_1_1_1.v; + _____acc_43_1_1_1_1.v = _____acc_42_1_1_1_1.v; + _____acc_44_1_1_1_1.v = _____acc_43_1_1_1_1.v; + _____acc_45_1_1_1_1.v = _____acc_44_1_1_1_1.v; + _____acc_46_1_1_1_1.v = _____acc_45_1_1_1_1.v; + _____acc_47_1_1_1_1.v = _____acc_46_1_1_1_1.v; + _____acc_48_1_1_1_1.v = _____acc_47_1_1_1_1.v; + _____acc_49_1_1_1_1.v = _____acc_48_1_1_1_1.v; + ____split_3_1_1_1.i = 0; + ______split_137_1_1_1_1_1 = ____split_3_1_1_1.i + 1; + _____acc_1_1_1_1_1.i = ______split_137_1_1_1_1_1; + ______split_137_2_1_1_1_1 = _____acc_1_1_1_1_1.i + 1; + _____acc_2_1_1_1_1.i = ______split_137_2_1_1_1_1; + ______split_137_3_1_1_1_1 = _____acc_2_1_1_1_1.i + 1; + _____acc_3_1_1_1_1.i = ______split_137_3_1_1_1_1; + ______split_137_4_1_1_1_1 = _____acc_3_1_1_1_1.i + 1; + _____acc_4_1_1_1_1.i = ______split_137_4_1_1_1_1; + ______split_137_5_1_1_1_1 = _____acc_4_1_1_1_1.i + 1; + _____acc_5_1_1_1_1.i = ______split_137_5_1_1_1_1; + ______split_137_6_1_1_1_1 = _____acc_5_1_1_1_1.i + 1; + _____acc_6_1_1_1_1.i = ______split_137_6_1_1_1_1; + ______split_137_7_1_1_1_1 = _____acc_6_1_1_1_1.i + 1; + _____acc_7_1_1_1_1.i = ______split_137_7_1_1_1_1; + ______split_137_8_1_1_1_1 = _____acc_7_1_1_1_1.i + 1; + _____acc_8_1_1_1_1.i = ______split_137_8_1_1_1_1; + ______split_137_9_1_1_1_1 = _____acc_8_1_1_1_1.i + 1; + _____acc_9_1_1_1_1.i = ______split_137_9_1_1_1_1; + ______split_137_10_1_1_1_1 = _____acc_9_1_1_1_1.i + 1; + _____acc_10_1_1_1_1.i = ______split_137_10_1_1_1_1; + ______split_137_11_1_1_1_1 = _____acc_10_1_1_1_1.i + 1; + _____acc_11_1_1_1_1.i = ______split_137_11_1_1_1_1; + ______split_137_12_1_1_1_1 = _____acc_11_1_1_1_1.i + 1; + _____acc_12_1_1_1_1.i = ______split_137_12_1_1_1_1; + ______split_137_13_1_1_1_1 = _____acc_12_1_1_1_1.i + 1; + _____acc_13_1_1_1_1.i = ______split_137_13_1_1_1_1; + ______split_137_14_1_1_1_1 = _____acc_13_1_1_1_1.i + 1; + _____acc_14_1_1_1_1.i = ______split_137_14_1_1_1_1; + ______split_137_15_1_1_1_1 = _____acc_14_1_1_1_1.i + 1; + _____acc_15_1_1_1_1.i = ______split_137_15_1_1_1_1; + ______split_137_16_1_1_1_1 = _____acc_15_1_1_1_1.i + 1; + _____acc_16_1_1_1_1.i = ______split_137_16_1_1_1_1; + ______split_137_17_1_1_1_1 = _____acc_16_1_1_1_1.i + 1; + _____acc_17_1_1_1_1.i = ______split_137_17_1_1_1_1; + ______split_137_18_1_1_1_1 = _____acc_17_1_1_1_1.i + 1; + _____acc_18_1_1_1_1.i = ______split_137_18_1_1_1_1; + ______split_137_19_1_1_1_1 = _____acc_18_1_1_1_1.i + 1; + _____acc_19_1_1_1_1.i = ______split_137_19_1_1_1_1; + ______split_137_20_1_1_1_1 = _____acc_19_1_1_1_1.i + 1; + _____acc_20_1_1_1_1.i = ______split_137_20_1_1_1_1; + ______split_137_21_1_1_1_1 = _____acc_20_1_1_1_1.i + 1; + _____acc_21_1_1_1_1.i = ______split_137_21_1_1_1_1; + ______split_137_22_1_1_1_1 = _____acc_21_1_1_1_1.i + 1; + _____acc_22_1_1_1_1.i = ______split_137_22_1_1_1_1; + ______split_137_23_1_1_1_1 = _____acc_22_1_1_1_1.i + 1; + _____acc_23_1_1_1_1.i = ______split_137_23_1_1_1_1; + ______split_137_24_1_1_1_1 = _____acc_23_1_1_1_1.i + 1; + _____acc_24_1_1_1_1.i = ______split_137_24_1_1_1_1; + ______split_137_25_1_1_1_1 = _____acc_24_1_1_1_1.i + 1; + _____acc_25_1_1_1_1.i = ______split_137_25_1_1_1_1; + ______split_137_26_1_1_1_1 = _____acc_25_1_1_1_1.i + 1; + _____acc_26_1_1_1_1.i = ______split_137_26_1_1_1_1; + ______split_137_27_1_1_1_1 = _____acc_26_1_1_1_1.i + 1; + _____acc_27_1_1_1_1.i = ______split_137_27_1_1_1_1; + ______split_137_28_1_1_1_1 = _____acc_27_1_1_1_1.i + 1; + _____acc_28_1_1_1_1.i = ______split_137_28_1_1_1_1; + ______split_137_29_1_1_1_1 = _____acc_28_1_1_1_1.i + 1; + _____acc_29_1_1_1_1.i = ______split_137_29_1_1_1_1; + ______split_137_30_1_1_1_1 = _____acc_29_1_1_1_1.i + 1; + _____acc_30_1_1_1_1.i = ______split_137_30_1_1_1_1; + ______split_137_31_1_1_1_1 = _____acc_30_1_1_1_1.i + 1; + _____acc_31_1_1_1_1.i = ______split_137_31_1_1_1_1; + ______split_137_32_1_1_1_1 = _____acc_31_1_1_1_1.i + 1; + _____acc_32_1_1_1_1.i = ______split_137_32_1_1_1_1; + ______split_137_33_1_1_1_1 = _____acc_32_1_1_1_1.i + 1; + _____acc_33_1_1_1_1.i = ______split_137_33_1_1_1_1; + ______split_137_34_1_1_1_1 = _____acc_33_1_1_1_1.i + 1; + _____acc_34_1_1_1_1.i = ______split_137_34_1_1_1_1; + ______split_137_35_1_1_1_1 = _____acc_34_1_1_1_1.i + 1; + _____acc_35_1_1_1_1.i = ______split_137_35_1_1_1_1; + ______split_137_36_1_1_1_1 = _____acc_35_1_1_1_1.i + 1; + _____acc_36_1_1_1_1.i = ______split_137_36_1_1_1_1; + ______split_137_37_1_1_1_1 = _____acc_36_1_1_1_1.i + 1; + _____acc_37_1_1_1_1.i = ______split_137_37_1_1_1_1; + ______split_137_38_1_1_1_1 = _____acc_37_1_1_1_1.i + 1; + _____acc_38_1_1_1_1.i = ______split_137_38_1_1_1_1; + ______split_137_39_1_1_1_1 = _____acc_38_1_1_1_1.i + 1; + _____acc_39_1_1_1_1.i = ______split_137_39_1_1_1_1; + ______split_137_40_1_1_1_1 = _____acc_39_1_1_1_1.i + 1; + _____acc_40_1_1_1_1.i = ______split_137_40_1_1_1_1; + ______split_137_41_1_1_1_1 = _____acc_40_1_1_1_1.i + 1; + _____acc_41_1_1_1_1.i = ______split_137_41_1_1_1_1; + ______split_137_42_1_1_1_1 = _____acc_41_1_1_1_1.i + 1; + _____acc_42_1_1_1_1.i = ______split_137_42_1_1_1_1; + ______split_137_43_1_1_1_1 = _____acc_42_1_1_1_1.i + 1; + _____acc_43_1_1_1_1.i = ______split_137_43_1_1_1_1; + ______split_137_44_1_1_1_1 = _____acc_43_1_1_1_1.i + 1; + _____acc_44_1_1_1_1.i = ______split_137_44_1_1_1_1; + ______split_137_45_1_1_1_1 = _____acc_44_1_1_1_1.i + 1; + _____acc_45_1_1_1_1.i = ______split_137_45_1_1_1_1; + ______split_137_46_1_1_1_1 = _____acc_45_1_1_1_1.i + 1; + _____acc_46_1_1_1_1.i = ______split_137_46_1_1_1_1; + ______split_137_47_1_1_1_1 = _____acc_46_1_1_1_1.i + 1; + _____acc_47_1_1_1_1.i = ______split_137_47_1_1_1_1; + ______split_137_48_1_1_1_1 = _____acc_47_1_1_1_1.i + 1; + _____acc_48_1_1_1_1.i = ______split_137_48_1_1_1_1; + ______split_137_49_1_1_1_1 = _____acc_48_1_1_1_1.i + 1; + _____acc_49_1_1_1_1.i = ______split_137_49_1_1_1_1; + Lustre_pre_2_get(&___split_131_1_1,&ctx->Lustre_pre_2_ctx_tab[2]); + Lustre_arrow_2_step(0,___split_131_1_1,&___split_132_1_1,&ctx->Lustre_arrow_2_ctx_tab[2]); + __i_1_1 = ___split_132_1_1 + 1; + ___split_135_1_1 = __i_1_1 % 50; + ____split_3_1_1_1.j = ___split_135_1_1; + _____acc_1_1_1_1_1.j = ____split_3_1_1_1.j; + _____acc_2_1_1_1_1.j = _____acc_1_1_1_1_1.j; + _____acc_3_1_1_1_1.j = _____acc_2_1_1_1_1.j; + _____acc_4_1_1_1_1.j = _____acc_3_1_1_1_1.j; + _____acc_5_1_1_1_1.j = _____acc_4_1_1_1_1.j; + _____acc_6_1_1_1_1.j = _____acc_5_1_1_1_1.j; + _____acc_7_1_1_1_1.j = _____acc_6_1_1_1_1.j; + _____acc_8_1_1_1_1.j = _____acc_7_1_1_1_1.j; + _____acc_9_1_1_1_1.j = _____acc_8_1_1_1_1.j; + _____acc_10_1_1_1_1.j = _____acc_9_1_1_1_1.j; + _____acc_11_1_1_1_1.j = _____acc_10_1_1_1_1.j; + _____acc_12_1_1_1_1.j = _____acc_11_1_1_1_1.j; + _____acc_13_1_1_1_1.j = _____acc_12_1_1_1_1.j; + _____acc_14_1_1_1_1.j = _____acc_13_1_1_1_1.j; + _____acc_15_1_1_1_1.j = _____acc_14_1_1_1_1.j; + _____acc_16_1_1_1_1.j = _____acc_15_1_1_1_1.j; + _____acc_17_1_1_1_1.j = _____acc_16_1_1_1_1.j; + _____acc_18_1_1_1_1.j = _____acc_17_1_1_1_1.j; + _____acc_19_1_1_1_1.j = _____acc_18_1_1_1_1.j; + _____acc_20_1_1_1_1.j = _____acc_19_1_1_1_1.j; + _____acc_21_1_1_1_1.j = _____acc_20_1_1_1_1.j; + _____acc_22_1_1_1_1.j = _____acc_21_1_1_1_1.j; + _____acc_23_1_1_1_1.j = _____acc_22_1_1_1_1.j; + _____acc_24_1_1_1_1.j = _____acc_23_1_1_1_1.j; + _____acc_25_1_1_1_1.j = _____acc_24_1_1_1_1.j; + _____acc_26_1_1_1_1.j = _____acc_25_1_1_1_1.j; + _____acc_27_1_1_1_1.j = _____acc_26_1_1_1_1.j; + _____acc_28_1_1_1_1.j = _____acc_27_1_1_1_1.j; + _____acc_29_1_1_1_1.j = _____acc_28_1_1_1_1.j; + _____acc_30_1_1_1_1.j = _____acc_29_1_1_1_1.j; + _____acc_31_1_1_1_1.j = _____acc_30_1_1_1_1.j; + _____acc_32_1_1_1_1.j = _____acc_31_1_1_1_1.j; + _____acc_33_1_1_1_1.j = _____acc_32_1_1_1_1.j; + _____acc_34_1_1_1_1.j = _____acc_33_1_1_1_1.j; + _____acc_35_1_1_1_1.j = _____acc_34_1_1_1_1.j; + _____acc_36_1_1_1_1.j = _____acc_35_1_1_1_1.j; + _____acc_37_1_1_1_1.j = _____acc_36_1_1_1_1.j; + _____acc_38_1_1_1_1.j = _____acc_37_1_1_1_1.j; + _____acc_39_1_1_1_1.j = _____acc_38_1_1_1_1.j; + _____acc_40_1_1_1_1.j = _____acc_39_1_1_1_1.j; + _____acc_41_1_1_1_1.j = _____acc_40_1_1_1_1.j; + _____acc_42_1_1_1_1.j = _____acc_41_1_1_1_1.j; + _____acc_43_1_1_1_1.j = _____acc_42_1_1_1_1.j; + _____acc_44_1_1_1_1.j = _____acc_43_1_1_1_1.j; + _____acc_45_1_1_1_1.j = _____acc_44_1_1_1_1.j; + _____acc_46_1_1_1_1.j = _____acc_45_1_1_1_1.j; + _____acc_47_1_1_1_1.j = _____acc_46_1_1_1_1.j; + _____acc_48_1_1_1_1.j = _____acc_47_1_1_1_1.j; + _____acc_49_1_1_1_1.j = _____acc_48_1_1_1_1.j; + ______split_136_50_1_1_1_1 = _____acc_49_1_1_1_1.i == _____acc_49_1_1_1_1.j; + Lustre_pre_3_get(___split_134_1_1,&ctx->Lustre_pre_3_ctx_tab[1]); + Lustre_hat_step(0.1,___split_133_1_1); + Lustre_arrow_3_step(___split_133_1_1,___split_134_1_1,__pre_a_1_1,&ctx->Lustre_arrow_3_ctx_tab[1]); + _____cell_50_1_1_1_1 = __pre_a_1_1[49]; + if (______split_136_50_1_1_1_1 == _true) { + _____ncell_50_1_1_1_1 = _____acc_49_1_1_1_1.v; + } else { + _____ncell_50_1_1_1_1 = _____cell_50_1_1_1_1; + } + __a_2_1[49] = _____ncell_50_1_1_1_1; + ______split_136_49_1_1_1_1 = _____acc_48_1_1_1_1.i == _____acc_48_1_1_1_1.j; + _____cell_49_1_1_1_1 = __pre_a_1_1[48]; + if (______split_136_49_1_1_1_1 == _true) { + _____ncell_49_1_1_1_1 = _____acc_48_1_1_1_1.v; + } else { + _____ncell_49_1_1_1_1 = _____cell_49_1_1_1_1; + } + __a_2_1[48] = _____ncell_49_1_1_1_1; + ______split_136_48_1_1_1_1 = _____acc_47_1_1_1_1.i == _____acc_47_1_1_1_1.j; + _____cell_48_1_1_1_1 = __pre_a_1_1[47]; + if (______split_136_48_1_1_1_1 == _true) { + _____ncell_48_1_1_1_1 = _____acc_47_1_1_1_1.v; + } else { + _____ncell_48_1_1_1_1 = _____cell_48_1_1_1_1; + } + __a_2_1[47] = _____ncell_48_1_1_1_1; + ______split_136_47_1_1_1_1 = _____acc_46_1_1_1_1.i == _____acc_46_1_1_1_1.j; + _____cell_47_1_1_1_1 = __pre_a_1_1[46]; + if (______split_136_47_1_1_1_1 == _true) { + _____ncell_47_1_1_1_1 = _____acc_46_1_1_1_1.v; + } else { + _____ncell_47_1_1_1_1 = _____cell_47_1_1_1_1; + } + __a_2_1[46] = _____ncell_47_1_1_1_1; + ______split_136_46_1_1_1_1 = _____acc_45_1_1_1_1.i == _____acc_45_1_1_1_1.j; + _____cell_46_1_1_1_1 = __pre_a_1_1[45]; + if (______split_136_46_1_1_1_1 == _true) { + _____ncell_46_1_1_1_1 = _____acc_45_1_1_1_1.v; + } else { + _____ncell_46_1_1_1_1 = _____cell_46_1_1_1_1; + } + __a_2_1[45] = _____ncell_46_1_1_1_1; + ______split_136_45_1_1_1_1 = _____acc_44_1_1_1_1.i == _____acc_44_1_1_1_1.j; + _____cell_45_1_1_1_1 = __pre_a_1_1[44]; + if (______split_136_45_1_1_1_1 == _true) { + _____ncell_45_1_1_1_1 = _____acc_44_1_1_1_1.v; + } else { + _____ncell_45_1_1_1_1 = _____cell_45_1_1_1_1; + } + __a_2_1[44] = _____ncell_45_1_1_1_1; + ______split_136_44_1_1_1_1 = _____acc_43_1_1_1_1.i == _____acc_43_1_1_1_1.j; + _____cell_44_1_1_1_1 = __pre_a_1_1[43]; + if (______split_136_44_1_1_1_1 == _true) { + _____ncell_44_1_1_1_1 = _____acc_43_1_1_1_1.v; + } else { + _____ncell_44_1_1_1_1 = _____cell_44_1_1_1_1; + } + __a_2_1[43] = _____ncell_44_1_1_1_1; + ______split_136_43_1_1_1_1 = _____acc_42_1_1_1_1.i == _____acc_42_1_1_1_1.j; + _____cell_43_1_1_1_1 = __pre_a_1_1[42]; + if (______split_136_43_1_1_1_1 == _true) { + _____ncell_43_1_1_1_1 = _____acc_42_1_1_1_1.v; + } else { + _____ncell_43_1_1_1_1 = _____cell_43_1_1_1_1; + } + __a_2_1[42] = _____ncell_43_1_1_1_1; + ______split_136_42_1_1_1_1 = _____acc_41_1_1_1_1.i == _____acc_41_1_1_1_1.j; + _____cell_42_1_1_1_1 = __pre_a_1_1[41]; + if (______split_136_42_1_1_1_1 == _true) { + _____ncell_42_1_1_1_1 = _____acc_41_1_1_1_1.v; + } else { + _____ncell_42_1_1_1_1 = _____cell_42_1_1_1_1; + } + __a_2_1[41] = _____ncell_42_1_1_1_1; + ______split_136_41_1_1_1_1 = _____acc_40_1_1_1_1.i == _____acc_40_1_1_1_1.j; + _____cell_41_1_1_1_1 = __pre_a_1_1[40]; + if (______split_136_41_1_1_1_1 == _true) { + _____ncell_41_1_1_1_1 = _____acc_40_1_1_1_1.v; + } else { + _____ncell_41_1_1_1_1 = _____cell_41_1_1_1_1; + } + __a_2_1[40] = _____ncell_41_1_1_1_1; + ______split_136_40_1_1_1_1 = _____acc_39_1_1_1_1.i == _____acc_39_1_1_1_1.j; + _____cell_40_1_1_1_1 = __pre_a_1_1[39]; + if (______split_136_40_1_1_1_1 == _true) { + _____ncell_40_1_1_1_1 = _____acc_39_1_1_1_1.v; + } else { + _____ncell_40_1_1_1_1 = _____cell_40_1_1_1_1; + } + __a_2_1[39] = _____ncell_40_1_1_1_1; + ______split_136_39_1_1_1_1 = _____acc_38_1_1_1_1.i == _____acc_38_1_1_1_1.j; + _____cell_39_1_1_1_1 = __pre_a_1_1[38]; + if (______split_136_39_1_1_1_1 == _true) { + _____ncell_39_1_1_1_1 = _____acc_38_1_1_1_1.v; + } else { + _____ncell_39_1_1_1_1 = _____cell_39_1_1_1_1; + } + __a_2_1[38] = _____ncell_39_1_1_1_1; + ______split_136_38_1_1_1_1 = _____acc_37_1_1_1_1.i == _____acc_37_1_1_1_1.j; + _____cell_38_1_1_1_1 = __pre_a_1_1[37]; + if (______split_136_38_1_1_1_1 == _true) { + _____ncell_38_1_1_1_1 = _____acc_37_1_1_1_1.v; + } else { + _____ncell_38_1_1_1_1 = _____cell_38_1_1_1_1; + } + __a_2_1[37] = _____ncell_38_1_1_1_1; + ______split_136_37_1_1_1_1 = _____acc_36_1_1_1_1.i == _____acc_36_1_1_1_1.j; + _____cell_37_1_1_1_1 = __pre_a_1_1[36]; + if (______split_136_37_1_1_1_1 == _true) { + _____ncell_37_1_1_1_1 = _____acc_36_1_1_1_1.v; + } else { + _____ncell_37_1_1_1_1 = _____cell_37_1_1_1_1; + } + __a_2_1[36] = _____ncell_37_1_1_1_1; + ______split_136_36_1_1_1_1 = _____acc_35_1_1_1_1.i == _____acc_35_1_1_1_1.j; + _____cell_36_1_1_1_1 = __pre_a_1_1[35]; + if (______split_136_36_1_1_1_1 == _true) { + _____ncell_36_1_1_1_1 = _____acc_35_1_1_1_1.v; + } else { + _____ncell_36_1_1_1_1 = _____cell_36_1_1_1_1; + } + __a_2_1[35] = _____ncell_36_1_1_1_1; + ______split_136_35_1_1_1_1 = _____acc_34_1_1_1_1.i == _____acc_34_1_1_1_1.j; + _____cell_35_1_1_1_1 = __pre_a_1_1[34]; + if (______split_136_35_1_1_1_1 == _true) { + _____ncell_35_1_1_1_1 = _____acc_34_1_1_1_1.v; + } else { + _____ncell_35_1_1_1_1 = _____cell_35_1_1_1_1; + } + __a_2_1[34] = _____ncell_35_1_1_1_1; + ______split_136_34_1_1_1_1 = _____acc_33_1_1_1_1.i == _____acc_33_1_1_1_1.j; + _____cell_34_1_1_1_1 = __pre_a_1_1[33]; + if (______split_136_34_1_1_1_1 == _true) { + _____ncell_34_1_1_1_1 = _____acc_33_1_1_1_1.v; + } else { + _____ncell_34_1_1_1_1 = _____cell_34_1_1_1_1; + } + __a_2_1[33] = _____ncell_34_1_1_1_1; + ______split_136_33_1_1_1_1 = _____acc_32_1_1_1_1.i == _____acc_32_1_1_1_1.j; + _____cell_33_1_1_1_1 = __pre_a_1_1[32]; + if (______split_136_33_1_1_1_1 == _true) { + _____ncell_33_1_1_1_1 = _____acc_32_1_1_1_1.v; + } else { + _____ncell_33_1_1_1_1 = _____cell_33_1_1_1_1; + } + __a_2_1[32] = _____ncell_33_1_1_1_1; + ______split_136_32_1_1_1_1 = _____acc_31_1_1_1_1.i == _____acc_31_1_1_1_1.j; + _____cell_32_1_1_1_1 = __pre_a_1_1[31]; + if (______split_136_32_1_1_1_1 == _true) { + _____ncell_32_1_1_1_1 = _____acc_31_1_1_1_1.v; + } else { + _____ncell_32_1_1_1_1 = _____cell_32_1_1_1_1; + } + __a_2_1[31] = _____ncell_32_1_1_1_1; + ______split_136_31_1_1_1_1 = _____acc_30_1_1_1_1.i == _____acc_30_1_1_1_1.j; + _____cell_31_1_1_1_1 = __pre_a_1_1[30]; + if (______split_136_31_1_1_1_1 == _true) { + _____ncell_31_1_1_1_1 = _____acc_30_1_1_1_1.v; + } else { + _____ncell_31_1_1_1_1 = _____cell_31_1_1_1_1; + } + __a_2_1[30] = _____ncell_31_1_1_1_1; + ______split_136_30_1_1_1_1 = _____acc_29_1_1_1_1.i == _____acc_29_1_1_1_1.j; + _____cell_30_1_1_1_1 = __pre_a_1_1[29]; + if (______split_136_30_1_1_1_1 == _true) { + _____ncell_30_1_1_1_1 = _____acc_29_1_1_1_1.v; + } else { + _____ncell_30_1_1_1_1 = _____cell_30_1_1_1_1; + } + __a_2_1[29] = _____ncell_30_1_1_1_1; + ______split_136_29_1_1_1_1 = _____acc_28_1_1_1_1.i == _____acc_28_1_1_1_1.j; + _____cell_29_1_1_1_1 = __pre_a_1_1[28]; + if (______split_136_29_1_1_1_1 == _true) { + _____ncell_29_1_1_1_1 = _____acc_28_1_1_1_1.v; + } else { + _____ncell_29_1_1_1_1 = _____cell_29_1_1_1_1; + } + __a_2_1[28] = _____ncell_29_1_1_1_1; + ______split_136_28_1_1_1_1 = _____acc_27_1_1_1_1.i == _____acc_27_1_1_1_1.j; + _____cell_28_1_1_1_1 = __pre_a_1_1[27]; + if (______split_136_28_1_1_1_1 == _true) { + _____ncell_28_1_1_1_1 = _____acc_27_1_1_1_1.v; + } else { + _____ncell_28_1_1_1_1 = _____cell_28_1_1_1_1; + } + __a_2_1[27] = _____ncell_28_1_1_1_1; + ______split_136_27_1_1_1_1 = _____acc_26_1_1_1_1.i == _____acc_26_1_1_1_1.j; + _____cell_27_1_1_1_1 = __pre_a_1_1[26]; + if (______split_136_27_1_1_1_1 == _true) { + _____ncell_27_1_1_1_1 = _____acc_26_1_1_1_1.v; + } else { + _____ncell_27_1_1_1_1 = _____cell_27_1_1_1_1; + } + __a_2_1[26] = _____ncell_27_1_1_1_1; + ______split_136_26_1_1_1_1 = _____acc_25_1_1_1_1.i == _____acc_25_1_1_1_1.j; + _____cell_26_1_1_1_1 = __pre_a_1_1[25]; + if (______split_136_26_1_1_1_1 == _true) { + _____ncell_26_1_1_1_1 = _____acc_25_1_1_1_1.v; + } else { + _____ncell_26_1_1_1_1 = _____cell_26_1_1_1_1; + } + __a_2_1[25] = _____ncell_26_1_1_1_1; + ______split_136_25_1_1_1_1 = _____acc_24_1_1_1_1.i == _____acc_24_1_1_1_1.j; + _____cell_25_1_1_1_1 = __pre_a_1_1[24]; + if (______split_136_25_1_1_1_1 == _true) { + _____ncell_25_1_1_1_1 = _____acc_24_1_1_1_1.v; + } else { + _____ncell_25_1_1_1_1 = _____cell_25_1_1_1_1; + } + __a_2_1[24] = _____ncell_25_1_1_1_1; + ______split_136_24_1_1_1_1 = _____acc_23_1_1_1_1.i == _____acc_23_1_1_1_1.j; + _____cell_24_1_1_1_1 = __pre_a_1_1[23]; + if (______split_136_24_1_1_1_1 == _true) { + _____ncell_24_1_1_1_1 = _____acc_23_1_1_1_1.v; + } else { + _____ncell_24_1_1_1_1 = _____cell_24_1_1_1_1; + } + __a_2_1[23] = _____ncell_24_1_1_1_1; + ______split_136_23_1_1_1_1 = _____acc_22_1_1_1_1.i == _____acc_22_1_1_1_1.j; + _____cell_23_1_1_1_1 = __pre_a_1_1[22]; + if (______split_136_23_1_1_1_1 == _true) { + _____ncell_23_1_1_1_1 = _____acc_22_1_1_1_1.v; + } else { + _____ncell_23_1_1_1_1 = _____cell_23_1_1_1_1; + } + __a_2_1[22] = _____ncell_23_1_1_1_1; + ______split_136_22_1_1_1_1 = _____acc_21_1_1_1_1.i == _____acc_21_1_1_1_1.j; + _____cell_22_1_1_1_1 = __pre_a_1_1[21]; + if (______split_136_22_1_1_1_1 == _true) { + _____ncell_22_1_1_1_1 = _____acc_21_1_1_1_1.v; + } else { + _____ncell_22_1_1_1_1 = _____cell_22_1_1_1_1; + } + __a_2_1[21] = _____ncell_22_1_1_1_1; + ______split_136_21_1_1_1_1 = _____acc_20_1_1_1_1.i == _____acc_20_1_1_1_1.j; + _____cell_21_1_1_1_1 = __pre_a_1_1[20]; + if (______split_136_21_1_1_1_1 == _true) { + _____ncell_21_1_1_1_1 = _____acc_20_1_1_1_1.v; + } else { + _____ncell_21_1_1_1_1 = _____cell_21_1_1_1_1; + } + __a_2_1[20] = _____ncell_21_1_1_1_1; + ______split_136_20_1_1_1_1 = _____acc_19_1_1_1_1.i == _____acc_19_1_1_1_1.j; + _____cell_20_1_1_1_1 = __pre_a_1_1[19]; + if (______split_136_20_1_1_1_1 == _true) { + _____ncell_20_1_1_1_1 = _____acc_19_1_1_1_1.v; + } else { + _____ncell_20_1_1_1_1 = _____cell_20_1_1_1_1; + } + __a_2_1[19] = _____ncell_20_1_1_1_1; + ______split_136_19_1_1_1_1 = _____acc_18_1_1_1_1.i == _____acc_18_1_1_1_1.j; + _____cell_19_1_1_1_1 = __pre_a_1_1[18]; + if (______split_136_19_1_1_1_1 == _true) { + _____ncell_19_1_1_1_1 = _____acc_18_1_1_1_1.v; + } else { + _____ncell_19_1_1_1_1 = _____cell_19_1_1_1_1; + } + __a_2_1[18] = _____ncell_19_1_1_1_1; + ______split_136_18_1_1_1_1 = _____acc_17_1_1_1_1.i == _____acc_17_1_1_1_1.j; + _____cell_18_1_1_1_1 = __pre_a_1_1[17]; + if (______split_136_18_1_1_1_1 == _true) { + _____ncell_18_1_1_1_1 = _____acc_17_1_1_1_1.v; + } else { + _____ncell_18_1_1_1_1 = _____cell_18_1_1_1_1; + } + __a_2_1[17] = _____ncell_18_1_1_1_1; + ______split_136_17_1_1_1_1 = _____acc_16_1_1_1_1.i == _____acc_16_1_1_1_1.j; + _____cell_17_1_1_1_1 = __pre_a_1_1[16]; + if (______split_136_17_1_1_1_1 == _true) { + _____ncell_17_1_1_1_1 = _____acc_16_1_1_1_1.v; + } else { + _____ncell_17_1_1_1_1 = _____cell_17_1_1_1_1; + } + __a_2_1[16] = _____ncell_17_1_1_1_1; + ______split_136_16_1_1_1_1 = _____acc_15_1_1_1_1.i == _____acc_15_1_1_1_1.j; + _____cell_16_1_1_1_1 = __pre_a_1_1[15]; + if (______split_136_16_1_1_1_1 == _true) { + _____ncell_16_1_1_1_1 = _____acc_15_1_1_1_1.v; + } else { + _____ncell_16_1_1_1_1 = _____cell_16_1_1_1_1; + } + __a_2_1[15] = _____ncell_16_1_1_1_1; + ______split_136_15_1_1_1_1 = _____acc_14_1_1_1_1.i == _____acc_14_1_1_1_1.j; + _____cell_15_1_1_1_1 = __pre_a_1_1[14]; + if (______split_136_15_1_1_1_1 == _true) { + _____ncell_15_1_1_1_1 = _____acc_14_1_1_1_1.v; + } else { + _____ncell_15_1_1_1_1 = _____cell_15_1_1_1_1; + } + __a_2_1[14] = _____ncell_15_1_1_1_1; + ______split_136_14_1_1_1_1 = _____acc_13_1_1_1_1.i == _____acc_13_1_1_1_1.j; + _____cell_14_1_1_1_1 = __pre_a_1_1[13]; + if (______split_136_14_1_1_1_1 == _true) { + _____ncell_14_1_1_1_1 = _____acc_13_1_1_1_1.v; + } else { + _____ncell_14_1_1_1_1 = _____cell_14_1_1_1_1; + } + __a_2_1[13] = _____ncell_14_1_1_1_1; + ______split_136_13_1_1_1_1 = _____acc_12_1_1_1_1.i == _____acc_12_1_1_1_1.j; + _____cell_13_1_1_1_1 = __pre_a_1_1[12]; + if (______split_136_13_1_1_1_1 == _true) { + _____ncell_13_1_1_1_1 = _____acc_12_1_1_1_1.v; + } else { + _____ncell_13_1_1_1_1 = _____cell_13_1_1_1_1; + } + __a_2_1[12] = _____ncell_13_1_1_1_1; + ______split_136_12_1_1_1_1 = _____acc_11_1_1_1_1.i == _____acc_11_1_1_1_1.j; + _____cell_12_1_1_1_1 = __pre_a_1_1[11]; + if (______split_136_12_1_1_1_1 == _true) { + _____ncell_12_1_1_1_1 = _____acc_11_1_1_1_1.v; + } else { + _____ncell_12_1_1_1_1 = _____cell_12_1_1_1_1; + } + __a_2_1[11] = _____ncell_12_1_1_1_1; + ______split_136_11_1_1_1_1 = _____acc_10_1_1_1_1.i == _____acc_10_1_1_1_1.j; + _____cell_11_1_1_1_1 = __pre_a_1_1[10]; + if (______split_136_11_1_1_1_1 == _true) { + _____ncell_11_1_1_1_1 = _____acc_10_1_1_1_1.v; + } else { + _____ncell_11_1_1_1_1 = _____cell_11_1_1_1_1; + } + __a_2_1[10] = _____ncell_11_1_1_1_1; + ______split_136_10_1_1_1_1 = _____acc_9_1_1_1_1.i == _____acc_9_1_1_1_1.j; + _____cell_10_1_1_1_1 = __pre_a_1_1[9]; + if (______split_136_10_1_1_1_1 == _true) { + _____ncell_10_1_1_1_1 = _____acc_9_1_1_1_1.v; + } else { + _____ncell_10_1_1_1_1 = _____cell_10_1_1_1_1; + } + __a_2_1[9] = _____ncell_10_1_1_1_1; + ______split_136_9_1_1_1_1 = _____acc_8_1_1_1_1.i == _____acc_8_1_1_1_1.j; + _____cell_9_1_1_1_1 = __pre_a_1_1[8]; + if (______split_136_9_1_1_1_1 == _true) { + _____ncell_9_1_1_1_1 = _____acc_8_1_1_1_1.v; + } else { + _____ncell_9_1_1_1_1 = _____cell_9_1_1_1_1; + } + __a_2_1[8] = _____ncell_9_1_1_1_1; + ______split_136_8_1_1_1_1 = _____acc_7_1_1_1_1.i == _____acc_7_1_1_1_1.j; + _____cell_8_1_1_1_1 = __pre_a_1_1[7]; + if (______split_136_8_1_1_1_1 == _true) { + _____ncell_8_1_1_1_1 = _____acc_7_1_1_1_1.v; + } else { + _____ncell_8_1_1_1_1 = _____cell_8_1_1_1_1; + } + __a_2_1[7] = _____ncell_8_1_1_1_1; + ______split_136_7_1_1_1_1 = _____acc_6_1_1_1_1.i == _____acc_6_1_1_1_1.j; + _____cell_7_1_1_1_1 = __pre_a_1_1[6]; + if (______split_136_7_1_1_1_1 == _true) { + _____ncell_7_1_1_1_1 = _____acc_6_1_1_1_1.v; + } else { + _____ncell_7_1_1_1_1 = _____cell_7_1_1_1_1; + } + __a_2_1[6] = _____ncell_7_1_1_1_1; + ______split_136_6_1_1_1_1 = _____acc_5_1_1_1_1.i == _____acc_5_1_1_1_1.j; + _____cell_6_1_1_1_1 = __pre_a_1_1[5]; + if (______split_136_6_1_1_1_1 == _true) { + _____ncell_6_1_1_1_1 = _____acc_5_1_1_1_1.v; + } else { + _____ncell_6_1_1_1_1 = _____cell_6_1_1_1_1; + } + __a_2_1[5] = _____ncell_6_1_1_1_1; + ______split_136_5_1_1_1_1 = _____acc_4_1_1_1_1.i == _____acc_4_1_1_1_1.j; + _____cell_5_1_1_1_1 = __pre_a_1_1[4]; + if (______split_136_5_1_1_1_1 == _true) { + _____ncell_5_1_1_1_1 = _____acc_4_1_1_1_1.v; + } else { + _____ncell_5_1_1_1_1 = _____cell_5_1_1_1_1; + } + __a_2_1[4] = _____ncell_5_1_1_1_1; + ______split_136_4_1_1_1_1 = _____acc_3_1_1_1_1.i == _____acc_3_1_1_1_1.j; + _____cell_4_1_1_1_1 = __pre_a_1_1[3]; + if (______split_136_4_1_1_1_1 == _true) { + _____ncell_4_1_1_1_1 = _____acc_3_1_1_1_1.v; + } else { + _____ncell_4_1_1_1_1 = _____cell_4_1_1_1_1; + } + __a_2_1[3] = _____ncell_4_1_1_1_1; + ______split_136_3_1_1_1_1 = _____acc_2_1_1_1_1.i == _____acc_2_1_1_1_1.j; + _____cell_3_1_1_1_1 = __pre_a_1_1[2]; + if (______split_136_3_1_1_1_1 == _true) { + _____ncell_3_1_1_1_1 = _____acc_2_1_1_1_1.v; + } else { + _____ncell_3_1_1_1_1 = _____cell_3_1_1_1_1; + } + __a_2_1[2] = _____ncell_3_1_1_1_1; + ______split_136_2_1_1_1_1 = _____acc_1_1_1_1_1.i == _____acc_1_1_1_1_1.j; + _____cell_2_1_1_1_1 = __pre_a_1_1[1]; + if (______split_136_2_1_1_1_1 == _true) { + _____ncell_2_1_1_1_1 = _____acc_1_1_1_1_1.v; + } else { + _____ncell_2_1_1_1_1 = _____cell_2_1_1_1_1; + } + __a_2_1[1] = _____ncell_2_1_1_1_1; + ______split_136_1_1_1_1_1 = ____split_3_1_1_1.i == ____split_3_1_1_1.j; + _____cell_1_1_1_1_1 = __pre_a_1_1[0]; + if (______split_136_1_1_1_1_1 == _true) { + _____ncell_1_1_1_1_1 = ____split_3_1_1_1.v; + } else { + _____ncell_1_1_1_1_1 = _____cell_1_1_1_1_1; + } + __a_2_1[0] = _____ncell_1_1_1_1_1; + ___i1_1_1_1 = 0.0; + ____acc_50_1_1_1 = ___i1_1_1_1 + __a_2_1[0]; + ____acc_51_1_1_1 = ____acc_50_1_1_1 + __a_2_1[1]; + ____acc_52_1_1_1 = ____acc_51_1_1_1 + __a_2_1[2]; + ____acc_53_1_1_1 = ____acc_52_1_1_1 + __a_2_1[3]; + ____acc_54_1_1_1 = ____acc_53_1_1_1 + __a_2_1[4]; + ____acc_55_1_1_1 = ____acc_54_1_1_1 + __a_2_1[5]; + ____acc_56_1_1_1 = ____acc_55_1_1_1 + __a_2_1[6]; + ____acc_57_1_1_1 = ____acc_56_1_1_1 + __a_2_1[7]; + ____acc_58_1_1_1 = ____acc_57_1_1_1 + __a_2_1[8]; + ____acc_59_1_1_1 = ____acc_58_1_1_1 + __a_2_1[9]; + ____acc_60_1_1_1 = ____acc_59_1_1_1 + __a_2_1[10]; + ____acc_61_1_1_1 = ____acc_60_1_1_1 + __a_2_1[11]; + ____acc_62_1_1_1 = ____acc_61_1_1_1 + __a_2_1[12]; + ____acc_63_1_1_1 = ____acc_62_1_1_1 + __a_2_1[13]; + ____acc_64_1_1_1 = ____acc_63_1_1_1 + __a_2_1[14]; + ____acc_65_1_1_1 = ____acc_64_1_1_1 + __a_2_1[15]; + ____acc_66_1_1_1 = ____acc_65_1_1_1 + __a_2_1[16]; + ____acc_67_1_1_1 = ____acc_66_1_1_1 + __a_2_1[17]; + ____acc_68_1_1_1 = ____acc_67_1_1_1 + __a_2_1[18]; + ____acc_69_1_1_1 = ____acc_68_1_1_1 + __a_2_1[19]; + ____acc_70_1_1_1 = ____acc_69_1_1_1 + __a_2_1[20]; + ____acc_71_1_1_1 = ____acc_70_1_1_1 + __a_2_1[21]; + ____acc_72_1_1_1 = ____acc_71_1_1_1 + __a_2_1[22]; + ____acc_73_1_1_1 = ____acc_72_1_1_1 + __a_2_1[23]; + ____acc_74_1_1_1 = ____acc_73_1_1_1 + __a_2_1[24]; + ____acc_75_1_1_1 = ____acc_74_1_1_1 + __a_2_1[25]; + ____acc_76_1_1_1 = ____acc_75_1_1_1 + __a_2_1[26]; + ____acc_77_1_1_1 = ____acc_76_1_1_1 + __a_2_1[27]; + ____acc_78_1_1_1 = ____acc_77_1_1_1 + __a_2_1[28]; + ____acc_79_1_1_1 = ____acc_78_1_1_1 + __a_2_1[29]; + ____acc_80_1_1_1 = ____acc_79_1_1_1 + __a_2_1[30]; + ____acc_81_1_1_1 = ____acc_80_1_1_1 + __a_2_1[31]; + ____acc_82_1_1_1 = ____acc_81_1_1_1 + __a_2_1[32]; + ____acc_83_1_1_1 = ____acc_82_1_1_1 + __a_2_1[33]; + ____acc_84_1_1_1 = ____acc_83_1_1_1 + __a_2_1[34]; + ____acc_85_1_1_1 = ____acc_84_1_1_1 + __a_2_1[35]; + ____acc_86_1_1_1 = ____acc_85_1_1_1 + __a_2_1[36]; + ____acc_87_1_1_1 = ____acc_86_1_1_1 + __a_2_1[37]; + ____acc_88_1_1_1 = ____acc_87_1_1_1 + __a_2_1[38]; + ____acc_89_1_1_1 = ____acc_88_1_1_1 + __a_2_1[39]; + ____acc_90_1_1_1 = ____acc_89_1_1_1 + __a_2_1[40]; + ____acc_91_1_1_1 = ____acc_90_1_1_1 + __a_2_1[41]; + ____acc_92_1_1_1 = ____acc_91_1_1_1 + __a_2_1[42]; + ____acc_93_1_1_1 = ____acc_92_1_1_1 + __a_2_1[43]; + ____acc_94_1_1_1 = ____acc_93_1_1_1 + __a_2_1[44]; + ____acc_95_1_1_1 = ____acc_94_1_1_1 + __a_2_1[45]; + ____acc_96_1_1_1 = ____acc_95_1_1_1 + __a_2_1[46]; + ____acc_97_1_1_1 = ____acc_96_1_1_1 + __a_2_1[47]; + ____acc_98_1_1_1 = ____acc_97_1_1_1 + __a_2_1[48]; + __split_84_1 = ____acc_98_1_1_1 + __a_2_1[49]; + __split_86_1 = __split_84_1; + break; +} + ___split_10_2_1 = __x_13_1 < __split_86_1; + if (___split_10_2_1 == _true) { + _t_3 = __split_86_1; + } else { + _t_3 = __x_13_1; + } + Lustre_pre_set(_t_3,&ctx->Lustre_pre_ctx_tab[2]); + switch (_TickOrRot_1){ + case _true: + ______split_137_50_1_2_1_1 = _____acc_49_1_2_1_1.i + 1; + ___dummy_2_1_1.i = ______split_137_50_1_2_1_1; + ___dummy_2_1_1.j = _____acc_49_1_2_1_1.j; + ___dummy_2_1_1.v = _____acc_49_1_2_1_1.v; + Lustre_pre_3_set(__a_3_1,&ctx->Lustre_pre_3_ctx_tab[0]); + Lustre_pre_2_set(__i_2_1,&ctx->Lustre_pre_2_ctx_tab[1]); + ______split_137_50_1_1_1_1 = _____acc_49_1_1_1_1.i + 1; + ___dummy_1_1_1.i = ______split_137_50_1_1_1_1; + ___dummy_1_1_1.j = _____acc_49_1_1_1_1.j; + ___dummy_1_1_1.v = _____acc_49_1_1_1_1.v; + Lustre_pre_3_set(__a_2_1,&ctx->Lustre_pre_3_ctx_tab[1]); + Lustre_pre_2_set(__i_1_1,&ctx->Lustre_pre_2_ctx_tab[2]); + break; +} + Lustre_slash_step(_d_1,_t_3,&__split_87_1); + __split_88_1 = __split_87_1 * 3.6; + Lustre_pre_set(__split_88_1,&ctx->Lustre_pre_ctx_tab[3]); + Lustre_pre_2_get(&__split_18_1,&ctx->Lustre_pre_2_ctx_tab[3]); + Lustre_pre_2_set(_st_2,&ctx->Lustre_pre_2_ctx_tab[3]); + Lustre_arrow_2_step(convertible_locked,__split_18_1,&_pst_3,&ctx->Lustre_arrow_2_ctx_tab[3]); + switch (_st_2){ + case convertible_in_motion: + _Tick_on_in_motion_1 = Tick; + switch (_Tick_on_in_motion_1){ + case _true: + Lustre_pre_get(&___split_33_1_1,&ctx->Lustre_pre_ctx_tab[5]); + Lustre_arrow_step(0.0,___split_33_1_1,&__pRoof_Percent_1_1,&ctx->Lustre_arrow_ctx_tab[5]); + switch (__st_1_1){ + case convertible_slow: + ___split_47_1_1 = __pRoof_Percent_1_1; + ___split_34_1_1 = __pRoof_Percent_1_1; + ___split_35_1_1 = 100.0 - ___split_34_1_1; + Lustre_slash_step(___split_35_1_1,5.0,&___split_36_1_1); + ____presqrt_5_4_1_1 = 1.0; + Lustre_slash_step(___split_36_1_1,____presqrt_5_4_1_1,&_____split_120_1_4_1_1); + _____split_121_1_4_1_1 = ____presqrt_5_4_1_1 + _____split_120_1_4_1_1; + ____sqrt_5_4_1_1 = 0.5 * _____split_121_1_4_1_1; + _____split_118_1_4_1_1 = ____presqrt_5_4_1_1 - ____sqrt_5_4_1_1; + ______split_2_5_1_4_1_1 = - _____split_118_1_4_1_1; + ______split_1_5_1_4_1_1 = _____split_118_1_4_1_1 >= 0.0; + if (______split_1_5_1_4_1_1 == _true) { + _____split_119_1_4_1_1 = _____split_118_1_4_1_1; + } else { + _____split_119_1_4_1_1 = ______split_2_5_1_4_1_1; + } + ____ecart_5_4_1_1 = _____split_119_1_4_1_1 < 0.0005; + switch (____ecart_5_4_1_1){ + case _false: + _____split_123_1_4_1_1 = ____sqrt_5_4_1_1; + _____split_122_1_4_1_1 = ___split_36_1_1; + Lustre_slash_step(_____split_122_1_4_1_1,_____split_123_1_4_1_1,&______split_112_1_1_4_1_1); + ______split_113_1_1_4_1_1 = _____split_123_1_4_1_1 + ______split_112_1_1_4_1_1; + _____sqrt_4_1_4_1_1 = 0.5 * ______split_113_1_1_4_1_1; + ______split_110_1_1_4_1_1 = _____split_123_1_4_1_1 - _____sqrt_4_1_4_1_1; + _______split_2_4_1_1_4_1_1 = - ______split_110_1_1_4_1_1; + _______split_1_4_1_1_4_1_1 = ______split_110_1_1_4_1_1 >= 0.0; + if (_______split_1_4_1_1_4_1_1 == _true) { + ______split_111_1_1_4_1_1 = ______split_110_1_1_4_1_1; + } else { + ______split_111_1_1_4_1_1 = _______split_2_4_1_1_4_1_1; + } + _____ecart_4_1_4_1_1 = ______split_111_1_1_4_1_1 < 0.0005; + switch (_____ecart_4_1_4_1_1){ + case _false: + ______split_115_1_1_4_1_1 = _____sqrt_4_1_4_1_1; + ______split_114_1_1_4_1_1 = _____split_122_1_4_1_1; + Lustre_slash_step(______split_114_1_1_4_1_1,______split_115_1_1_4_1_1,&_______split_104_1_1_1_4_1_1); + _______split_105_1_1_1_4_1_1 = ______split_115_1_1_4_1_1 + _______split_104_1_1_1_4_1_1; + ______sqrt_3_1_1_4_1_1 = 0.5 * _______split_105_1_1_1_4_1_1; + _______split_102_1_1_1_4_1_1 = ______split_115_1_1_4_1_1 - ______sqrt_3_1_1_4_1_1; + ________split_2_3_1_1_1_4_1_1 = - _______split_102_1_1_1_4_1_1; + ________split_1_3_1_1_1_4_1_1 = _______split_102_1_1_1_4_1_1 >= 0.0; + if (________split_1_3_1_1_1_4_1_1 == _true) { + _______split_103_1_1_1_4_1_1 = _______split_102_1_1_1_4_1_1; + } else { + _______split_103_1_1_1_4_1_1 = ________split_2_3_1_1_1_4_1_1; + } + ______ecart_3_1_1_4_1_1 = _______split_103_1_1_1_4_1_1 < 0.0005; + switch (______ecart_3_1_1_4_1_1){ + case _false: + _______split_107_1_1_1_4_1_1 = ______sqrt_3_1_1_4_1_1; + _______split_106_1_1_1_4_1_1 = ______split_114_1_1_4_1_1; + Lustre_slash_step(_______split_106_1_1_1_4_1_1,_______split_107_1_1_1_4_1_1,&________split_96_1_1_1_1_4_1_1); + ________split_97_1_1_1_1_4_1_1 = _______split_107_1_1_1_4_1_1 + ________split_96_1_1_1_1_4_1_1; + _______sqrt_2_1_1_1_4_1_1 = 0.5 * ________split_97_1_1_1_1_4_1_1; + ________split_94_1_1_1_1_4_1_1 = _______split_107_1_1_1_4_1_1 - _______sqrt_2_1_1_1_4_1_1; + _________split_2_2_1_1_1_1_4_1_1 = - ________split_94_1_1_1_1_4_1_1; + _________split_1_2_1_1_1_1_4_1_1 = ________split_94_1_1_1_1_4_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_4_1_1 == _true) { + ________split_95_1_1_1_1_4_1_1 = ________split_94_1_1_1_1_4_1_1; + } else { + ________split_95_1_1_1_1_4_1_1 = _________split_2_2_1_1_1_1_4_1_1; + } + _______ecart_2_1_1_1_4_1_1 = ________split_95_1_1_1_1_4_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_4_1_1){ + case _false: + ________split_98_1_1_1_1_4_1_1 = _______split_106_1_1_1_4_1_1; + ________split_99_1_1_1_1_4_1_1 = _______sqrt_2_1_1_1_4_1_1; + Lustre_slash_step(________split_98_1_1_1_1_4_1_1,________split_99_1_1_1_1_4_1_1,&_________split_92_1_1_1_1_1_4_1_1); + _________split_93_1_1_1_1_1_4_1_1 = ________split_99_1_1_1_1_4_1_1 + _________split_92_1_1_1_1_1_4_1_1; + ________sqrt_1_1_1_1_1_4_1_1 = 0.5 * _________split_93_1_1_1_1_1_4_1_1; + _______split_108_1_1_1_4_1_1 = ________sqrt_1_1_1_1_1_4_1_1; + break; + case _true: + ________split_101_1_1_1_1_4_1_1 = _______sqrt_2_1_1_1_4_1_1; + _______split_108_1_1_1_4_1_1 = ________split_101_1_1_1_1_4_1_1; + break; +} + ______split_116_1_1_4_1_1 = _______split_108_1_1_1_4_1_1; + break; + case _true: + _______split_109_1_1_1_4_1_1 = ______sqrt_3_1_1_4_1_1; + ______split_116_1_1_4_1_1 = _______split_109_1_1_1_4_1_1; + break; +} + _____split_124_1_4_1_1 = ______split_116_1_1_4_1_1; + break; + case _true: + ______split_117_1_1_4_1_1 = _____sqrt_4_1_4_1_1; + _____split_124_1_4_1_1 = ______split_117_1_1_4_1_1; + break; +} + ___split_37_1_1 = _____split_124_1_4_1_1; + break; + case _true: + _____split_125_1_4_1_1 = ____sqrt_5_4_1_1; + ___split_37_1_1 = _____split_125_1_4_1_1; + break; +} + ____presqrt_5_3_1_1 = 1.0; + Lustre_slash_step(___split_37_1_1,____presqrt_5_3_1_1,&_____split_120_1_3_1_1); + _____split_121_1_3_1_1 = ____presqrt_5_3_1_1 + _____split_120_1_3_1_1; + ____sqrt_5_3_1_1 = 0.5 * _____split_121_1_3_1_1; + _____split_118_1_3_1_1 = ____presqrt_5_3_1_1 - ____sqrt_5_3_1_1; + ______split_2_5_1_3_1_1 = - _____split_118_1_3_1_1; + ______split_1_5_1_3_1_1 = _____split_118_1_3_1_1 >= 0.0; + if (______split_1_5_1_3_1_1 == _true) { + _____split_119_1_3_1_1 = _____split_118_1_3_1_1; + } else { + _____split_119_1_3_1_1 = ______split_2_5_1_3_1_1; + } + ____ecart_5_3_1_1 = _____split_119_1_3_1_1 < 0.0005; + switch (____ecart_5_3_1_1){ + case _false: + _____split_123_1_3_1_1 = ____sqrt_5_3_1_1; + _____split_122_1_3_1_1 = ___split_37_1_1; + Lustre_slash_step(_____split_122_1_3_1_1,_____split_123_1_3_1_1,&______split_112_1_1_3_1_1); + ______split_113_1_1_3_1_1 = _____split_123_1_3_1_1 + ______split_112_1_1_3_1_1; + _____sqrt_4_1_3_1_1 = 0.5 * ______split_113_1_1_3_1_1; + ______split_110_1_1_3_1_1 = _____split_123_1_3_1_1 - _____sqrt_4_1_3_1_1; + _______split_2_4_1_1_3_1_1 = - ______split_110_1_1_3_1_1; + _______split_1_4_1_1_3_1_1 = ______split_110_1_1_3_1_1 >= 0.0; + if (_______split_1_4_1_1_3_1_1 == _true) { + ______split_111_1_1_3_1_1 = ______split_110_1_1_3_1_1; + } else { + ______split_111_1_1_3_1_1 = _______split_2_4_1_1_3_1_1; + } + _____ecart_4_1_3_1_1 = ______split_111_1_1_3_1_1 < 0.0005; + switch (_____ecart_4_1_3_1_1){ + case _false: + ______split_115_1_1_3_1_1 = _____sqrt_4_1_3_1_1; + ______split_114_1_1_3_1_1 = _____split_122_1_3_1_1; + Lustre_slash_step(______split_114_1_1_3_1_1,______split_115_1_1_3_1_1,&_______split_104_1_1_1_3_1_1); + _______split_105_1_1_1_3_1_1 = ______split_115_1_1_3_1_1 + _______split_104_1_1_1_3_1_1; + ______sqrt_3_1_1_3_1_1 = 0.5 * _______split_105_1_1_1_3_1_1; + _______split_102_1_1_1_3_1_1 = ______split_115_1_1_3_1_1 - ______sqrt_3_1_1_3_1_1; + ________split_2_3_1_1_1_3_1_1 = - _______split_102_1_1_1_3_1_1; + ________split_1_3_1_1_1_3_1_1 = _______split_102_1_1_1_3_1_1 >= 0.0; + if (________split_1_3_1_1_1_3_1_1 == _true) { + _______split_103_1_1_1_3_1_1 = _______split_102_1_1_1_3_1_1; + } else { + _______split_103_1_1_1_3_1_1 = ________split_2_3_1_1_1_3_1_1; + } + ______ecart_3_1_1_3_1_1 = _______split_103_1_1_1_3_1_1 < 0.0005; + switch (______ecart_3_1_1_3_1_1){ + case _false: + _______split_107_1_1_1_3_1_1 = ______sqrt_3_1_1_3_1_1; + _______split_106_1_1_1_3_1_1 = ______split_114_1_1_3_1_1; + Lustre_slash_step(_______split_106_1_1_1_3_1_1,_______split_107_1_1_1_3_1_1,&________split_96_1_1_1_1_3_1_1); + ________split_97_1_1_1_1_3_1_1 = _______split_107_1_1_1_3_1_1 + ________split_96_1_1_1_1_3_1_1; + _______sqrt_2_1_1_1_3_1_1 = 0.5 * ________split_97_1_1_1_1_3_1_1; + ________split_94_1_1_1_1_3_1_1 = _______split_107_1_1_1_3_1_1 - _______sqrt_2_1_1_1_3_1_1; + _________split_2_2_1_1_1_1_3_1_1 = - ________split_94_1_1_1_1_3_1_1; + _________split_1_2_1_1_1_1_3_1_1 = ________split_94_1_1_1_1_3_1_1 >= 0.0; + if (_________split_1_2_1_1_1_1_3_1_1 == _true) { + ________split_95_1_1_1_1_3_1_1 = ________split_94_1_1_1_1_3_1_1; + } else { + ________split_95_1_1_1_1_3_1_1 = _________split_2_2_1_1_1_1_3_1_1; + } + _______ecart_2_1_1_1_3_1_1 = ________split_95_1_1_1_1_3_1_1 < 0.0005; + switch (_______ecart_2_1_1_1_3_1_1){ + case _false: + ________split_98_1_1_1_1_3_1_1 = _______split_106_1_1_1_3_1_1; + ________split_99_1_1_1_1_3_1_1 = _______sqrt_2_1_1_1_3_1_1; + Lustre_slash_step(________split_98_1_1_1_1_3_1_1,________split_99_1_1_1_1_3_1_1,&_________split_92_1_1_1_1_1_3_1_1); + _________split_93_1_1_1_1_1_3_1_1 = ________split_99_1_1_1_1_3_1_1 + _________split_92_1_1_1_1_1_3_1_1; + ________sqrt_1_1_1_1_1_3_1_1 = 0.5 * _________split_93_1_1_1_1_1_3_1_1; + _______split_108_1_1_1_3_1_1 = ________sqrt_1_1_1_1_1_3_1_1; + break; + case _true: + ________split_101_1_1_1_1_3_1_1 = _______sqrt_2_1_1_1_3_1_1; + _______split_108_1_1_1_3_1_1 = ________split_101_1_1_1_1_3_1_1; + break; +} + ______split_116_1_1_3_1_1 = _______split_108_1_1_1_3_1_1; + break; + case _true: + _______split_109_1_1_1_3_1_1 = ______sqrt_3_1_1_3_1_1; + ______split_116_1_1_3_1_1 = _______split_109_1_1_1_3_1_1; + break; +} + _____split_124_1_3_1_1 = ______split_116_1_1_3_1_1; + break; + case _true: + ______split_117_1_1_3_1_1 = _____sqrt_4_1_3_1_1; + _____split_124_1_3_1_1 = ______split_117_1_1_3_1_1; + break; +} + __slow_it_down_1_1 = _____split_124_1_3_1_1; + break; + case _true: + _____split_125_1_3_1_1 = ____sqrt_5_3_1_1; + __slow_it_down_1_1 = _____split_125_1_3_1_1; + break; +} + break; +} + Lustre_slash_step(5.,0.1,&___split_38_1_1); + Lustre_slash_step(100.,___split_38_1_1,&__kh_1_1); + switch (__st_1_1){ + case convertible_slow: + ___split_45_1_1 = __kh_1_1; + ___split_46_1_1 = __slow_it_down_1_1 * ___split_45_1_1; + ___split_48_1_1 = ___split_46_1_1 + ___split_47_1_1; + __Roof_Percent_1_1 = ___split_48_1_1; + break; +} + ___split_43_1_1 = __kh_1_1 + __pRoof_Percent_1_1; + switch (__st_1_1){ + case convertible_fast: + ___split_44_1_1 = ___split_43_1_1; + __Roof_Percent_1_1 = ___split_44_1_1; + ___split_42_1_1 = 10.0; + break; + case convertible_wait: + __Roof_Percent_1_1 = 0.0; + ___split_42_1_1 = 0.0; + break; + case convertible_slow: + ___split_41_1_1 = 10.0 * __slow_it_down_1_1; + ___split_42_1_1 = ___split_41_1_1; + break; +} + __split_25_1 = ___split_42_1_1; + break; +} + Lustre_pre_get(&___split_39_1_1,&ctx->Lustre_pre_ctx_tab[4]); + Lustre_arrow_step(0.0,___split_39_1_1,&__pRoof_Speed_1_1,&ctx->Lustre_arrow_ctx_tab[4]); + switch (_Tick_on_in_motion_1){ + case _false: + ___split_40_1_1 = __pRoof_Speed_1_1; + __split_25_1 = ___split_40_1_1; + break; +} + Lustre_pre_set(__split_25_1,&ctx->Lustre_pre_ctx_tab[4]); + switch (_Tick_on_in_motion_1){ + case _true: + switch (__st_1_1){ + case convertible_slow: + switch (____ecart_5_3_1_1){ + case _false: + switch (_____ecart_4_1_3_1_1){ + case _false: + switch (______ecart_3_1_1_3_1_1){ + case _false: + switch (_______ecart_2_1_1_1_3_1_1){ + case _false: + _________split_90_1_1_1_1_1_3_1_1 = ________split_99_1_1_1_1_3_1_1 - ________sqrt_1_1_1_1_1_3_1_1; + __________split_2_1_1_1_1_1_1_3_1_1 = - _________split_90_1_1_1_1_1_3_1_1; + __________split_1_1_1_1_1_1_1_3_1_1 = _________split_90_1_1_1_1_1_3_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_3_1_1 == _true) { + _________split_91_1_1_1_1_1_3_1_1 = _________split_90_1_1_1_1_1_3_1_1; + } else { + _________split_91_1_1_1_1_1_3_1_1 = __________split_2_1_1_1_1_1_1_3_1_1; + } + ________ecart_1_1_1_1_1_3_1_1 = _________split_91_1_1_1_1_1_3_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + switch (____ecart_5_4_1_1){ + case _false: + switch (_____ecart_4_1_4_1_1){ + case _false: + switch (______ecart_3_1_1_4_1_1){ + case _false: + switch (_______ecart_2_1_1_1_4_1_1){ + case _false: + _________split_90_1_1_1_1_1_4_1_1 = ________split_99_1_1_1_1_4_1_1 - ________sqrt_1_1_1_1_1_4_1_1; + __________split_2_1_1_1_1_1_1_4_1_1 = - _________split_90_1_1_1_1_1_4_1_1; + __________split_1_1_1_1_1_1_1_4_1_1 = _________split_90_1_1_1_1_1_4_1_1 >= 0.0; + if (__________split_1_1_1_1_1_1_1_4_1_1 == _true) { + _________split_91_1_1_1_1_1_4_1_1 = _________split_90_1_1_1_1_1_4_1_1; + } else { + _________split_91_1_1_1_1_1_4_1_1 = __________split_2_1_1_1_1_1_1_4_1_1; + } + ________ecart_1_1_1_1_1_4_1_1 = _________split_91_1_1_1_1_1_4_1_1 < 0.0005; + break; +} + break; +} + break; +} + break; +} + break; +} + Lustre_pre_set(__Roof_Percent_1_1,&ctx->Lustre_pre_ctx_tab[5]); + switch (__pst_2_1){ + case convertible_slow: + ___split_30_1_1 = __pRoof_Percent_1_1; + ___split_31_1_1 = ___split_30_1_1 < 100.0; + if (___split_31_1_1 == _true) { + ___split_32_1_1 = convertible_slow; + } else { + ___split_32_1_1 = convertible_wait; + } + __st_1_1 = ___split_32_1_1; + break; + case convertible_fast: + ___split_27_1_1 = __pRoof_Percent_1_1; + ___split_28_1_1 = ___split_27_1_1 < 85.0; + if (___split_28_1_1 == _true) { + ___split_29_1_1 = convertible_fast; + } else { + ___split_29_1_1 = convertible_slow; + } + __st_1_1 = ___split_29_1_1; + break; + case convertible_wait: + __st_1_1 = convertible_fast; + break; +} + Lustre_pre_2_get(&___split_26_1_1,&ctx->Lustre_pre_2_ctx_tab[4]); + Lustre_arrow_2_step(convertible_wait,___split_26_1_1,&__pst_2_1,&ctx->Lustre_arrow_2_ctx_tab[4]); + Lustre_pre_2_set(__st_1_1,&ctx->Lustre_pre_2_ctx_tab[4]); + break; +} + *Roof_Speed = __split_25_1; + break; + case convertible_locked: + __split_24_1 = 0.0; + *Roof_Speed = __split_24_1; + break; +} + _split_8 = OnOff & Start; + _split_9 = ! _split_8; + +} // End of convertible_main_step + diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.h b/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.h new file mode 100644 index 00000000..4785db8a --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main.h @@ -0,0 +1,52 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ + +#include +#include + +#include "lustre_types.h" +#include "lustre_consts.h" + +#ifndef _convertible_main_H_FILE +#define _convertible_main_H_FILE +void Lustre_arrow_2_ctx_reset(Lustre_arrow_2_ctx_type* ctx); +void Lustre_arrow_2_ctx_init(Lustre_arrow_2_ctx_type* ctx); +void Lustre_arrow_2_step(_integer ,_integer ,_integer *,Lustre_arrow_2_ctx_type*); + +void Lustre_arrow_ctx_reset(Lustre_arrow_ctx_type* ctx); +void Lustre_arrow_ctx_init(Lustre_arrow_ctx_type* ctx); +void Lustre_arrow_step(_real ,_real ,_real *,Lustre_arrow_ctx_type*); + +void Lustre_arrow_3_ctx_reset(Lustre_arrow_3_ctx_type* ctx); +void Lustre_arrow_3_ctx_init(Lustre_arrow_3_ctx_type* ctx); +void Lustre_arrow_3_step(_real [50],_real [50],_real [50]/*out*/,Lustre_arrow_3_ctx_type*); + +void Lustre_hat_step(_real ,_real [50]/*out*/); + +void Lustre_pre_2_ctx_reset(Lustre_pre_2_ctx_type* ctx); +void Lustre_pre_2_ctx_init(Lustre_pre_2_ctx_type* ctx); +void Lustre_pre_2_get(_integer *,Lustre_pre_2_ctx_type*); + +void Lustre_pre_2_set(_integer ,Lustre_pre_2_ctx_type*); + +void Lustre_pre_ctx_reset(Lustre_pre_ctx_type* ctx); +void Lustre_pre_ctx_init(Lustre_pre_ctx_type* ctx); +void Lustre_pre_get(_real *,Lustre_pre_ctx_type*); + +void Lustre_pre_set(_real ,Lustre_pre_ctx_type*); + +void Lustre_pre_3_ctx_reset(Lustre_pre_3_ctx_type* ctx); +void Lustre_pre_3_ctx_init(Lustre_pre_3_ctx_type* ctx); +void Lustre_pre_3_get(_real [50]/*out*/,Lustre_pre_3_ctx_type*); + +void Lustre_pre_3_set(_real [50],Lustre_pre_3_ctx_type*); + +void Lustre_slash_step(_real ,_real ,_real *); + +void convertible_main_ctx_reset(convertible_main_ctx_type* ctx); +void convertible_main_ctx_init(convertible_main_ctx_type* ctx); +void convertible_main_step(_boolean ,_boolean ,_boolean ,_boolean ,_boolean ,_boolean ,_real ,_boolean *,_boolean *,_real *,_real *,convertible_main_ctx_type*); + +///////////////////////////////////////////////// +#endif diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main_loop.c b/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main_loop.c new file mode 100644 index 00000000..9646b39f --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/convertible_main_loop.c @@ -0,0 +1,86 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2cgc -node main convertible.lus */ +/* on vanoise the 08/05/2019 at 23:54:11 */ + +#include +#include +#include +#include +#include "convertible_main.h" +#include "../clock.h" +#include "../dm_random.c" + +/* MACROS DEFINITIONS ****************/ +#ifndef TT +#define TT "1" +#endif +#ifndef FF +#define FF "0" +#endif +#ifndef BB +#define BB "bottom" +#endif +#ifdef CKCHECK +/* set this macro for testing output clocks */ +#endif + +/* Standard Input procedures **************/ +_boolean _get_bool(char* n){ + return dm_random_uint32() & 1; +} +/* +_integer _get_int(char* n){ + return (_integer) (dm_random_uint32() % 21) - 10; +} +*/ +_real _get_real(char* n){ + return ((_integer) (dm_random_uint32() % 2000001) - 1000000)*1E-6; +} +/* Output procedures **********************/ +void convertible_main_O_n(void* cdata, _integer _V) { +} + +/* Main procedure *************************/ +int main(){ + int _s = 0; + _boolean Start; + _boolean Parked; + _boolean Rot; + _boolean Tick; + _boolean OnOff; + _boolean Done; + _real Dist; + _boolean Danger; + _boolean Locked; + _real Speed; + _real Roof_Speed; + convertible_main_ctx_type ctx_struct; + convertible_main_ctx_type* ctx = &ctx_struct; + convertible_main_ctx_init(ctx); + // printf("#inputs \"Start\":bool \"Parked\":bool \"Rot\":bool \"Tick\":bool \"OnOff\":bool \"Done\":bool \"Dist\":real\n"); + // printf("#outputs \"Danger\":bool \"Locked\":bool \"Speed\":real \"Roof_Speed\":real\n"); + + /* Main loop */ + clock_prepare(); + clock_start(); + + for(int count=0; count<1000; count++){ + ++_s; + Start = _get_bool("Start"); + Parked = _get_bool("Parked"); + Rot = _get_bool("Rot"); + Tick = _get_bool("Tick"); + OnOff = _get_bool("OnOff"); + Done = _get_bool("Done"); + Dist = _get_real("Dist"); + convertible_main_step(Start,Parked,Rot,Tick,OnOff,Done,Dist,&Danger,&Locked,&Speed,&Roof_Speed,ctx); + // printf("%d %d %d %d %d %d %f #outs %d %d %f %f\n",Start,Parked,Rot,Tick,OnOff,Done,Dist,Danger,Locked,Speed,Roof_Speed); + // printf("%d %d %f %f\n",Danger,Locked,Speed,Roof_Speed); + } + + clock_stop(); + print_total_clock(); + + return 0; + +} diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c deleted file mode 100644 index 6a4db4c3..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.c +++ /dev/null @@ -1,3319 +0,0 @@ -/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ -/* lv6 -2c -en -2cgc -n main convertible.lus */ -/* on vanoise the 09/05/2019 at 15:28:26 */ -#include "convertible_main.h" - -#define DM_INLINE inline - -//// Defining step functions -// Memory initialisation for Lustre_arrow_2_ctx -DM_INLINE void Lustre_arrow_2_ctx_reset(Lustre_arrow_2_ctx_type* ctx){ - int _i; - ctx->_memory = _true; -} - -// Initialisation of the internal structure of Lustre_arrow_2_ctx -DM_INLINE void Lustre_arrow_2_ctx_init(Lustre_arrow_2_ctx_type* ctx){ - // ctx->client_data = cdata; - Lustre_arrow_2_ctx_reset(ctx); - } -// Step function(s) for Lustre_arrow_2_ctx -DM_INLINE void Lustre_arrow_2_step(_integer i1,_integer i2,_integer *out,Lustre_arrow_2_ctx_type* ctx){ *out = ((ctx->_memory)? i1 : i2); - ctx->_memory = _false; - -} // End of Lustre_arrow_2_step - -// Memory initialisation for Lustre_arrow_ctx -DM_INLINE void Lustre_arrow_ctx_reset(Lustre_arrow_ctx_type* ctx){ - int _i; - ctx->_memory = _true; -} - -// Initialisation of the internal structure of Lustre_arrow_ctx -DM_INLINE void Lustre_arrow_ctx_init(Lustre_arrow_ctx_type* ctx){ - // ctx->client_data = cdata; - Lustre_arrow_ctx_reset(ctx); - } -// Step function(s) for Lustre_arrow_ctx -DM_INLINE void Lustre_arrow_step(_real i1,_real i2,_real *out,Lustre_arrow_ctx_type* ctx){ *out = ((ctx->_memory)? i1 : i2); - ctx->_memory = _false; - -} // End of Lustre_arrow_step - -// Memory initialisation for Lustre_arrow_3_ctx -DM_INLINE void Lustre_arrow_3_ctx_reset(Lustre_arrow_3_ctx_type* ctx){ - int _i; - ctx->_memory = _true; -} - -// Initialisation of the internal structure of Lustre_arrow_3_ctx -DM_INLINE void Lustre_arrow_3_ctx_init(Lustre_arrow_3_ctx_type* ctx){ - // ctx->client_data = cdata; - Lustre_arrow_3_ctx_reset(ctx); - } -// Step function(s) for Lustre_arrow_3_ctx -DM_INLINE void Lustre_arrow_3_step(_real i1[50],_real i2[50],_real out[50]/*out*/,Lustre_arrow_3_ctx_type* ctx){ _assign_rp50(out, ((ctx->_memory)? i1 : i2), sizeof(_real [50])); - ctx->_memory = _false; - -} // End of Lustre_arrow_3_step - -// Step function(s) for Lustre_hat_ctx -DM_INLINE void Lustre_hat_step(_real i1,_real out[50]/*out*/){ - out[0] = i1; - out[1] = i1; - out[2] = i1; - out[3] = i1; - out[4] = i1; - out[5] = i1; - out[6] = i1; - out[7] = i1; - out[8] = i1; - out[9] = i1; - out[10] = i1; - out[11] = i1; - out[12] = i1; - out[13] = i1; - out[14] = i1; - out[15] = i1; - out[16] = i1; - out[17] = i1; - out[18] = i1; - out[19] = i1; - out[20] = i1; - out[21] = i1; - out[22] = i1; - out[23] = i1; - out[24] = i1; - out[25] = i1; - out[26] = i1; - out[27] = i1; - out[28] = i1; - out[29] = i1; - out[30] = i1; - out[31] = i1; - out[32] = i1; - out[33] = i1; - out[34] = i1; - out[35] = i1; - out[36] = i1; - out[37] = i1; - out[38] = i1; - out[39] = i1; - out[40] = i1; - out[41] = i1; - out[42] = i1; - out[43] = i1; - out[44] = i1; - out[45] = i1; - out[46] = i1; - out[47] = i1; - out[48] = i1; - out[49] = i1; - -} // End of Lustre_hat_step - -// Memory initialisation for Lustre_pre_2_ctx -DM_INLINE void Lustre_pre_2_ctx_reset(Lustre_pre_2_ctx_type* ctx){ - int _i; - -} - -// Initialisation of the internal structure of Lustre_pre_2_ctx -DM_INLINE void Lustre_pre_2_ctx_init(Lustre_pre_2_ctx_type* ctx){ - // ctx->client_data = cdata; - Lustre_pre_2_ctx_reset(ctx); - } -// Step function(s) for Lustre_pre_2_ctx -DM_INLINE void Lustre_pre_2_get(_integer *out,Lustre_pre_2_ctx_type* ctx){ - *out = ctx->_memory; - -} // End of Lustre_pre_2_get - -DM_INLINE void Lustre_pre_2_set(_integer i1,Lustre_pre_2_ctx_type* ctx){ - ctx->_memory = i1; - -} // End of Lustre_pre_2_set - -// Memory initialisation for Lustre_pre_ctx -DM_INLINE void Lustre_pre_ctx_reset(Lustre_pre_ctx_type* ctx){ - int _i; - -} - -// Initialisation of the internal structure of Lustre_pre_ctx -DM_INLINE void Lustre_pre_ctx_init(Lustre_pre_ctx_type* ctx){ - // ctx->client_data = cdata; - Lustre_pre_ctx_reset(ctx); - } -// Step function(s) for Lustre_pre_ctx -DM_INLINE void Lustre_pre_get(_real *out,Lustre_pre_ctx_type* ctx){ - *out = ctx->_memory; - -} // End of Lustre_pre_get - -DM_INLINE void Lustre_pre_set(_real i1,Lustre_pre_ctx_type* ctx){ - ctx->_memory = i1; - -} // End of Lustre_pre_set - -// Memory initialisation for Lustre_pre_3_ctx -DM_INLINE void Lustre_pre_3_ctx_reset(Lustre_pre_3_ctx_type* ctx){ - int _i; - -} - -// Initialisation of the internal structure of Lustre_pre_3_ctx -DM_INLINE void Lustre_pre_3_ctx_init(Lustre_pre_3_ctx_type* ctx){ - // ctx->client_data = cdata; - Lustre_pre_3_ctx_reset(ctx); - } -// Step function(s) for Lustre_pre_3_ctx -DM_INLINE void Lustre_pre_3_get(_real out[50]/*out*/,Lustre_pre_3_ctx_type* ctx){ - _assign_rp50(out, ctx->_memory, sizeof(_real [50])); - -} // End of Lustre_pre_3_get - -DM_INLINE void Lustre_pre_3_set(_real i1[50],Lustre_pre_3_ctx_type* ctx){ - _assign_rp50(ctx->_memory, i1, sizeof(_real [50])); - -} // End of Lustre_pre_3_set - -// Step function(s) for Lustre_slash_ctx -DM_INLINE void Lustre_slash_step(_real i1,_real i2,_real *out){ - *out = (i1 / i2); - -} // End of Lustre_slash_step - -// Memory initialisation for convertible_main_ctx -void convertible_main_ctx_reset(convertible_main_ctx_type* ctx){ - int _i; - - Lustre_pre_3_ctx_reset(&ctx->Lustre_pre_3_ctx_tab[0]); - Lustre_pre_3_ctx_reset(&ctx->Lustre_pre_3_ctx_tab[1]); for (_i=0 ; _i<6 ; _i+=1){ - Lustre_pre_ctx_reset(&ctx->Lustre_pre_ctx_tab[_i]); - } for (_i=0 ; _i<5 ; _i+=1){ - Lustre_pre_2_ctx_reset(&ctx->Lustre_pre_2_ctx_tab[_i]); - } - Lustre_arrow_3_ctx_reset(&ctx->Lustre_arrow_3_ctx_tab[0]); - Lustre_arrow_3_ctx_reset(&ctx->Lustre_arrow_3_ctx_tab[1]); for (_i=0 ; _i<6 ; _i+=1){ - Lustre_arrow_ctx_reset(&ctx->Lustre_arrow_ctx_tab[_i]); - } for (_i=0 ; _i<5 ; _i+=1){ - Lustre_arrow_2_ctx_reset(&ctx->Lustre_arrow_2_ctx_tab[_i]); - } -} - -// Initialisation of the internal structure of convertible_main_ctx -void convertible_main_ctx_init(convertible_main_ctx_type* ctx){ - // ctx->client_data = cdata; - convertible_main_ctx_reset(ctx); - } -// Step function(s) for convertible_main_ctx -void convertible_main_step(_boolean Start,_boolean Parked,_boolean Rot,_boolean Tick,_boolean OnOff,_boolean Done,_real Dist,_boolean *Danger,_boolean *Locked,_real *Speed,_real *Roof_Speed,convertible_main_ctx_type* ctx){ _boolean _Tick_on_in_motion_1; - _integer _st_2; - _integer _pst_3; - _integer __split_18_1; - _boolean __split_19_1; - _boolean __split_20_1; - _integer __split_21_1; - _boolean __split_22_1; - _integer __split_23_1; - _real __split_24_1; - _real __split_25_1; - _real ____presqrt_5_4_1_1; - _boolean ______split_1_5_1_4_1_1; - _real ______split_2_5_1_4_1_1; - _boolean _____ecart_4_1_4_1_1; - _real _____sqrt_4_1_4_1_1; - _real ______split_110_1_1_4_1_1; - _real ______split_111_1_1_4_1_1; - _real ______split_112_1_1_4_1_1; - _real ______split_113_1_1_4_1_1; - _real ______split_114_1_1_4_1_1; - _real ______split_115_1_1_4_1_1; - _real ______split_116_1_1_4_1_1; - _real ______split_117_1_1_4_1_1; - _boolean ________split_1_3_1_1_1_4_1_1; - _real ________split_2_3_1_1_1_4_1_1; - _boolean _______ecart_2_1_1_1_4_1_1; - _real _______sqrt_2_1_1_1_4_1_1; - _real ________split_94_1_1_1_1_4_1_1; - _real ________split_95_1_1_1_1_4_1_1; - _real ________split_96_1_1_1_1_4_1_1; - _real ________split_97_1_1_1_1_4_1_1; - _real ________split_98_1_1_1_1_4_1_1; - _real ________split_99_1_1_1_1_4_1_1; - _real ________split_101_1_1_1_1_4_1_1; - _boolean __________split_1_1_1_1_1_1_1_4_1_1; - _real __________split_2_1_1_1_1_1_1_4_1_1; - _real _________split_93_1_1_1_1_1_4_1_1; - _real _________split_92_1_1_1_1_1_4_1_1; - _real _________split_91_1_1_1_1_1_4_1_1; - _real _________split_90_1_1_1_1_1_4_1_1; - _real ________sqrt_1_1_1_1_1_4_1_1; - _boolean ________ecart_1_1_1_1_1_4_1_1; - _real _________split_2_2_1_1_1_1_4_1_1; - _boolean _________split_1_2_1_1_1_1_4_1_1; - _real _______split_109_1_1_1_4_1_1; - _real _______split_108_1_1_1_4_1_1; - _real _______split_107_1_1_1_4_1_1; - _real _______split_106_1_1_1_4_1_1; - _real _______split_105_1_1_1_4_1_1; - _real _______split_104_1_1_1_4_1_1; - _real _______split_103_1_1_1_4_1_1; - _real _______split_102_1_1_1_4_1_1; - _real ______sqrt_3_1_1_4_1_1; - _boolean ______ecart_3_1_1_4_1_1; - _real _______split_2_4_1_1_4_1_1; - _boolean _______split_1_4_1_1_4_1_1; - _real _____split_125_1_4_1_1; - _real _____split_124_1_4_1_1; - _real _____split_123_1_4_1_1; - _real _____split_122_1_4_1_1; - _real _____split_121_1_4_1_1; - _real _____split_120_1_4_1_1; - _real _____split_119_1_4_1_1; - _real _____split_118_1_4_1_1; - _real ____sqrt_5_4_1_1; - _boolean ____ecart_5_4_1_1; - _real ____presqrt_5_3_1_1; - _boolean ______split_1_5_1_3_1_1; - _real ______split_2_5_1_3_1_1; - _boolean _____ecart_4_1_3_1_1; - _real _____sqrt_4_1_3_1_1; - _real ______split_110_1_1_3_1_1; - _real ______split_111_1_1_3_1_1; - _real ______split_112_1_1_3_1_1; - _real ______split_113_1_1_3_1_1; - _real ______split_114_1_1_3_1_1; - _real ______split_115_1_1_3_1_1; - _real ______split_116_1_1_3_1_1; - _real ______split_117_1_1_3_1_1; - _boolean ________split_1_3_1_1_1_3_1_1; - _real ________split_2_3_1_1_1_3_1_1; - _boolean _______ecart_2_1_1_1_3_1_1; - _real _______sqrt_2_1_1_1_3_1_1; - _real ________split_94_1_1_1_1_3_1_1; - _real ________split_95_1_1_1_1_3_1_1; - _real ________split_96_1_1_1_1_3_1_1; - _real ________split_97_1_1_1_1_3_1_1; - _real ________split_98_1_1_1_1_3_1_1; - _real ________split_99_1_1_1_1_3_1_1; - _real ________split_101_1_1_1_1_3_1_1; - _boolean __________split_1_1_1_1_1_1_1_3_1_1; - _real __________split_2_1_1_1_1_1_1_3_1_1; - _real _________split_93_1_1_1_1_1_3_1_1; - _real _________split_92_1_1_1_1_1_3_1_1; - _real _________split_91_1_1_1_1_1_3_1_1; - _real _________split_90_1_1_1_1_1_3_1_1; - _real ________sqrt_1_1_1_1_1_3_1_1; - _boolean ________ecart_1_1_1_1_1_3_1_1; - _real _________split_2_2_1_1_1_1_3_1_1; - _boolean _________split_1_2_1_1_1_1_3_1_1; - _real _______split_109_1_1_1_3_1_1; - _real _______split_108_1_1_1_3_1_1; - _real _______split_107_1_1_1_3_1_1; - _real _______split_106_1_1_1_3_1_1; - _real _______split_105_1_1_1_3_1_1; - _real _______split_104_1_1_1_3_1_1; - _real _______split_103_1_1_1_3_1_1; - _real _______split_102_1_1_1_3_1_1; - _real ______sqrt_3_1_1_3_1_1; - _boolean ______ecart_3_1_1_3_1_1; - _real _______split_2_4_1_1_3_1_1; - _boolean _______split_1_4_1_1_3_1_1; - _real _____split_125_1_3_1_1; - _real _____split_124_1_3_1_1; - _real _____split_123_1_3_1_1; - _real _____split_122_1_3_1_1; - _real _____split_121_1_3_1_1; - _real _____split_120_1_3_1_1; - _real _____split_119_1_3_1_1; - _real _____split_118_1_3_1_1; - _real ____sqrt_5_3_1_1; - _boolean ____ecart_5_3_1_1; - _real ___split_48_1_1; - _real ___split_47_1_1; - _real ___split_46_1_1; - _real ___split_45_1_1; - _real ___split_44_1_1; - _real ___split_43_1_1; - _real ___split_42_1_1; - _real ___split_41_1_1; - _real ___split_40_1_1; - _real ___split_39_1_1; - _real ___split_38_1_1; - _real ___split_37_1_1; - _real ___split_36_1_1; - _real ___split_35_1_1; - _real ___split_34_1_1; - _real ___split_33_1_1; - _integer ___split_32_1_1; - _boolean ___split_31_1_1; - _real ___split_30_1_1; - _integer ___split_29_1_1; - _boolean ___split_28_1_1; - _real ___split_27_1_1; - _integer ___split_26_1_1; - _integer __pst_2_1; - _integer __st_1_1; - _real __kh_1_1; - _real __Roof_Percent_1_1; - _real __pRoof_Percent_1_1; - _real __slow_it_down_1_1; - _real __pRoof_Speed_1_1; - _boolean _TickOrRot_1; - _real _tx_1; - _real _dx_1; - _real _pt_1; - _real _pd_1; - _real _t_3; - _real _d_1; - _real __split_78_1; - _real __split_79_1; - _real __split_80_1; - _real __split_81_1; - _real __split_82_1; - _real __split_83_1; - _real __split_84_1; - _real __split_85_1; - _real __split_86_1; - _real __split_87_1; - _real __split_88_1; - _real __split_89_1; - _real __x_13_1; - _boolean ___split_10_2_1; - convertible_update_acc ___dummy_1_1_1; - convertible_update_acc ____split_3_1_1_1; - _boolean ______split_136_50_1_1_1_1; - _integer ______split_137_50_1_1_1_1; - _real _____cell_50_1_1_1_1; - _real _____ncell_50_1_1_1_1; - _boolean ______split_136_49_1_1_1_1; - _integer ______split_137_49_1_1_1_1; - _real _____cell_49_1_1_1_1; - _real _____ncell_49_1_1_1_1; - _boolean ______split_136_48_1_1_1_1; - _integer ______split_137_48_1_1_1_1; - _real _____cell_48_1_1_1_1; - _real _____ncell_48_1_1_1_1; - _boolean ______split_136_47_1_1_1_1; - _integer ______split_137_47_1_1_1_1; - _real _____cell_47_1_1_1_1; - _real _____ncell_47_1_1_1_1; - _boolean ______split_136_46_1_1_1_1; - _integer ______split_137_46_1_1_1_1; - _real _____cell_46_1_1_1_1; - _real _____ncell_46_1_1_1_1; - _boolean ______split_136_45_1_1_1_1; - _integer ______split_137_45_1_1_1_1; - _real _____cell_45_1_1_1_1; - _real _____ncell_45_1_1_1_1; - _boolean ______split_136_44_1_1_1_1; - _integer ______split_137_44_1_1_1_1; - _real _____cell_44_1_1_1_1; - _real _____ncell_44_1_1_1_1; - _boolean ______split_136_43_1_1_1_1; - _integer ______split_137_43_1_1_1_1; - _real _____cell_43_1_1_1_1; - _real _____ncell_43_1_1_1_1; - _boolean ______split_136_42_1_1_1_1; - _integer ______split_137_42_1_1_1_1; - _real _____cell_42_1_1_1_1; - _real _____ncell_42_1_1_1_1; - _boolean ______split_136_41_1_1_1_1; - _integer ______split_137_41_1_1_1_1; - _real _____cell_41_1_1_1_1; - _real _____ncell_41_1_1_1_1; - _boolean ______split_136_40_1_1_1_1; - _integer ______split_137_40_1_1_1_1; - _real _____cell_40_1_1_1_1; - _real _____ncell_40_1_1_1_1; - _boolean ______split_136_39_1_1_1_1; - _integer ______split_137_39_1_1_1_1; - _real _____cell_39_1_1_1_1; - _real _____ncell_39_1_1_1_1; - _boolean ______split_136_38_1_1_1_1; - _integer ______split_137_38_1_1_1_1; - _real _____cell_38_1_1_1_1; - _real _____ncell_38_1_1_1_1; - _boolean ______split_136_37_1_1_1_1; - _integer ______split_137_37_1_1_1_1; - _real _____cell_37_1_1_1_1; - _real _____ncell_37_1_1_1_1; - _boolean ______split_136_36_1_1_1_1; - _integer ______split_137_36_1_1_1_1; - _real _____cell_36_1_1_1_1; - _real _____ncell_36_1_1_1_1; - _boolean ______split_136_35_1_1_1_1; - _integer ______split_137_35_1_1_1_1; - _real _____cell_35_1_1_1_1; - _real _____ncell_35_1_1_1_1; - _boolean ______split_136_34_1_1_1_1; - _integer ______split_137_34_1_1_1_1; - _real _____cell_34_1_1_1_1; - _real _____ncell_34_1_1_1_1; - _boolean ______split_136_33_1_1_1_1; - _integer ______split_137_33_1_1_1_1; - _real _____cell_33_1_1_1_1; - _real _____ncell_33_1_1_1_1; - _boolean ______split_136_32_1_1_1_1; - _integer ______split_137_32_1_1_1_1; - _real _____cell_32_1_1_1_1; - _real _____ncell_32_1_1_1_1; - _boolean ______split_136_31_1_1_1_1; - _integer ______split_137_31_1_1_1_1; - _real _____cell_31_1_1_1_1; - _real _____ncell_31_1_1_1_1; - _boolean ______split_136_30_1_1_1_1; - _integer ______split_137_30_1_1_1_1; - _real _____cell_30_1_1_1_1; - _real _____ncell_30_1_1_1_1; - _boolean ______split_136_29_1_1_1_1; - _integer ______split_137_29_1_1_1_1; - _real _____cell_29_1_1_1_1; - _real _____ncell_29_1_1_1_1; - _boolean ______split_136_28_1_1_1_1; - _integer ______split_137_28_1_1_1_1; - _real _____cell_28_1_1_1_1; - _real _____ncell_28_1_1_1_1; - _boolean ______split_136_27_1_1_1_1; - _integer ______split_137_27_1_1_1_1; - _real _____cell_27_1_1_1_1; - _real _____ncell_27_1_1_1_1; - _boolean ______split_136_26_1_1_1_1; - _integer ______split_137_26_1_1_1_1; - _real _____cell_26_1_1_1_1; - _real _____ncell_26_1_1_1_1; - _boolean ______split_136_25_1_1_1_1; - _integer ______split_137_25_1_1_1_1; - _real _____cell_25_1_1_1_1; - _real _____ncell_25_1_1_1_1; - _boolean ______split_136_24_1_1_1_1; - _integer ______split_137_24_1_1_1_1; - _real _____cell_24_1_1_1_1; - _real _____ncell_24_1_1_1_1; - _boolean ______split_136_23_1_1_1_1; - _integer ______split_137_23_1_1_1_1; - _real _____cell_23_1_1_1_1; - _real _____ncell_23_1_1_1_1; - _boolean ______split_136_22_1_1_1_1; - _integer ______split_137_22_1_1_1_1; - _real _____cell_22_1_1_1_1; - _real _____ncell_22_1_1_1_1; - _boolean ______split_136_21_1_1_1_1; - _integer ______split_137_21_1_1_1_1; - _real _____cell_21_1_1_1_1; - _real _____ncell_21_1_1_1_1; - _boolean ______split_136_20_1_1_1_1; - _integer ______split_137_20_1_1_1_1; - _real _____cell_20_1_1_1_1; - _real _____ncell_20_1_1_1_1; - _boolean ______split_136_19_1_1_1_1; - _integer ______split_137_19_1_1_1_1; - _real _____cell_19_1_1_1_1; - _real _____ncell_19_1_1_1_1; - _boolean ______split_136_18_1_1_1_1; - _integer ______split_137_18_1_1_1_1; - _real _____cell_18_1_1_1_1; - _real _____ncell_18_1_1_1_1; - _boolean ______split_136_17_1_1_1_1; - _integer ______split_137_17_1_1_1_1; - _real _____cell_17_1_1_1_1; - _real _____ncell_17_1_1_1_1; - _boolean ______split_136_16_1_1_1_1; - _integer ______split_137_16_1_1_1_1; - _real _____cell_16_1_1_1_1; - _real _____ncell_16_1_1_1_1; - _boolean ______split_136_15_1_1_1_1; - _integer ______split_137_15_1_1_1_1; - _real _____cell_15_1_1_1_1; - _real _____ncell_15_1_1_1_1; - _boolean ______split_136_14_1_1_1_1; - _integer ______split_137_14_1_1_1_1; - _real _____cell_14_1_1_1_1; - _real _____ncell_14_1_1_1_1; - _boolean ______split_136_13_1_1_1_1; - _integer ______split_137_13_1_1_1_1; - _real _____cell_13_1_1_1_1; - _real _____ncell_13_1_1_1_1; - _boolean ______split_136_12_1_1_1_1; - _integer ______split_137_12_1_1_1_1; - _real _____cell_12_1_1_1_1; - _real _____ncell_12_1_1_1_1; - _boolean ______split_136_11_1_1_1_1; - _integer ______split_137_11_1_1_1_1; - _real _____cell_11_1_1_1_1; - _real _____ncell_11_1_1_1_1; - _boolean ______split_136_10_1_1_1_1; - _integer ______split_137_10_1_1_1_1; - _real _____cell_10_1_1_1_1; - _real _____ncell_10_1_1_1_1; - _boolean ______split_136_9_1_1_1_1; - _integer ______split_137_9_1_1_1_1; - _real _____cell_9_1_1_1_1; - _real _____ncell_9_1_1_1_1; - _boolean ______split_136_8_1_1_1_1; - _integer ______split_137_8_1_1_1_1; - _real _____cell_8_1_1_1_1; - _real _____ncell_8_1_1_1_1; - _boolean ______split_136_7_1_1_1_1; - _integer ______split_137_7_1_1_1_1; - _real _____cell_7_1_1_1_1; - _real _____ncell_7_1_1_1_1; - _boolean ______split_136_6_1_1_1_1; - _integer ______split_137_6_1_1_1_1; - _real _____cell_6_1_1_1_1; - _real _____ncell_6_1_1_1_1; - _boolean ______split_136_5_1_1_1_1; - _integer ______split_137_5_1_1_1_1; - _real _____cell_5_1_1_1_1; - _real _____ncell_5_1_1_1_1; - _boolean ______split_136_4_1_1_1_1; - _integer ______split_137_4_1_1_1_1; - _real _____cell_4_1_1_1_1; - _real _____ncell_4_1_1_1_1; - _boolean ______split_136_3_1_1_1_1; - _integer ______split_137_3_1_1_1_1; - _real _____cell_3_1_1_1_1; - _real _____ncell_3_1_1_1_1; - _boolean ______split_136_2_1_1_1_1; - _integer ______split_137_2_1_1_1_1; - _real _____cell_2_1_1_1_1; - _real _____ncell_2_1_1_1_1; - _boolean ______split_136_1_1_1_1_1; - _integer ______split_137_1_1_1_1_1; - _real _____cell_1_1_1_1_1; - _real _____ncell_1_1_1_1_1; - convertible_update_acc _____acc_1_1_1_1_1; - convertible_update_acc _____acc_2_1_1_1_1; - convertible_update_acc _____acc_3_1_1_1_1; - convertible_update_acc _____acc_4_1_1_1_1; - convertible_update_acc _____acc_5_1_1_1_1; - convertible_update_acc _____acc_6_1_1_1_1; - convertible_update_acc _____acc_7_1_1_1_1; - convertible_update_acc _____acc_8_1_1_1_1; - convertible_update_acc _____acc_9_1_1_1_1; - convertible_update_acc _____acc_10_1_1_1_1; - convertible_update_acc _____acc_11_1_1_1_1; - convertible_update_acc _____acc_12_1_1_1_1; - convertible_update_acc _____acc_13_1_1_1_1; - convertible_update_acc _____acc_14_1_1_1_1; - convertible_update_acc _____acc_15_1_1_1_1; - convertible_update_acc _____acc_16_1_1_1_1; - convertible_update_acc _____acc_17_1_1_1_1; - convertible_update_acc _____acc_18_1_1_1_1; - convertible_update_acc _____acc_19_1_1_1_1; - convertible_update_acc _____acc_20_1_1_1_1; - convertible_update_acc _____acc_21_1_1_1_1; - convertible_update_acc _____acc_22_1_1_1_1; - convertible_update_acc _____acc_23_1_1_1_1; - convertible_update_acc _____acc_24_1_1_1_1; - convertible_update_acc _____acc_25_1_1_1_1; - convertible_update_acc _____acc_26_1_1_1_1; - convertible_update_acc _____acc_27_1_1_1_1; - convertible_update_acc _____acc_28_1_1_1_1; - convertible_update_acc _____acc_29_1_1_1_1; - convertible_update_acc _____acc_30_1_1_1_1; - convertible_update_acc _____acc_31_1_1_1_1; - convertible_update_acc _____acc_32_1_1_1_1; - convertible_update_acc _____acc_33_1_1_1_1; - convertible_update_acc _____acc_34_1_1_1_1; - convertible_update_acc _____acc_35_1_1_1_1; - convertible_update_acc _____acc_36_1_1_1_1; - convertible_update_acc _____acc_37_1_1_1_1; - convertible_update_acc _____acc_38_1_1_1_1; - convertible_update_acc _____acc_39_1_1_1_1; - convertible_update_acc _____acc_40_1_1_1_1; - convertible_update_acc _____acc_41_1_1_1_1; - convertible_update_acc _____acc_42_1_1_1_1; - convertible_update_acc _____acc_43_1_1_1_1; - convertible_update_acc _____acc_44_1_1_1_1; - convertible_update_acc _____acc_45_1_1_1_1; - convertible_update_acc _____acc_46_1_1_1_1; - convertible_update_acc _____acc_47_1_1_1_1; - convertible_update_acc _____acc_48_1_1_1_1; - convertible_update_acc _____acc_49_1_1_1_1; - _real ____acc_98_1_1_1; - _real ____acc_97_1_1_1; - _real ____acc_96_1_1_1; - _real ____acc_95_1_1_1; - _real ____acc_94_1_1_1; - _real ____acc_93_1_1_1; - _real ____acc_92_1_1_1; - _real ____acc_91_1_1_1; - _real ____acc_90_1_1_1; - _real ____acc_89_1_1_1; - _real ____acc_88_1_1_1; - _real ____acc_87_1_1_1; - _real ____acc_86_1_1_1; - _real ____acc_85_1_1_1; - _real ____acc_84_1_1_1; - _real ____acc_83_1_1_1; - _real ____acc_82_1_1_1; - _real ____acc_81_1_1_1; - _real ____acc_80_1_1_1; - _real ____acc_79_1_1_1; - _real ____acc_78_1_1_1; - _real ____acc_77_1_1_1; - _real ____acc_76_1_1_1; - _real ____acc_75_1_1_1; - _real ____acc_74_1_1_1; - _real ____acc_73_1_1_1; - _real ____acc_72_1_1_1; - _real ____acc_71_1_1_1; - _real ____acc_70_1_1_1; - _real ____acc_69_1_1_1; - _real ____acc_68_1_1_1; - _real ____acc_67_1_1_1; - _real ____acc_66_1_1_1; - _real ____acc_65_1_1_1; - _real ____acc_64_1_1_1; - _real ____acc_63_1_1_1; - _real ____acc_62_1_1_1; - _real ____acc_61_1_1_1; - _real ____acc_60_1_1_1; - _real ____acc_59_1_1_1; - _real ____acc_58_1_1_1; - _real ____acc_57_1_1_1; - _real ____acc_56_1_1_1; - _real ____acc_55_1_1_1; - _real ____acc_54_1_1_1; - _real ____acc_53_1_1_1; - _real ____acc_52_1_1_1; - _real ____acc_51_1_1_1; - _real ____acc_50_1_1_1; - _real ___i1_1_1_1; - _integer ___split_135_1_1; - _real ___split_134_1_1[50]; - _real ___split_133_1_1[50]; - _integer ___split_132_1_1; - _integer ___split_131_1_1; - _real __a_2_1[50]; - _real __pre_a_1_1[50]; - _integer __i_1_1; - convertible_update_acc ___dummy_2_1_1; - convertible_update_acc ____split_3_2_1_1; - _boolean ______split_136_50_1_2_1_1; - _integer ______split_137_50_1_2_1_1; - _real _____cell_50_1_2_1_1; - _real _____ncell_50_1_2_1_1; - _boolean ______split_136_49_1_2_1_1; - _integer ______split_137_49_1_2_1_1; - _real _____cell_49_1_2_1_1; - _real _____ncell_49_1_2_1_1; - _boolean ______split_136_48_1_2_1_1; - _integer ______split_137_48_1_2_1_1; - _real _____cell_48_1_2_1_1; - _real _____ncell_48_1_2_1_1; - _boolean ______split_136_47_1_2_1_1; - _integer ______split_137_47_1_2_1_1; - _real _____cell_47_1_2_1_1; - _real _____ncell_47_1_2_1_1; - _boolean ______split_136_46_1_2_1_1; - _integer ______split_137_46_1_2_1_1; - _real _____cell_46_1_2_1_1; - _real _____ncell_46_1_2_1_1; - _boolean ______split_136_45_1_2_1_1; - _integer ______split_137_45_1_2_1_1; - _real _____cell_45_1_2_1_1; - _real _____ncell_45_1_2_1_1; - _boolean ______split_136_44_1_2_1_1; - _integer ______split_137_44_1_2_1_1; - _real _____cell_44_1_2_1_1; - _real _____ncell_44_1_2_1_1; - _boolean ______split_136_43_1_2_1_1; - _integer ______split_137_43_1_2_1_1; - _real _____cell_43_1_2_1_1; - _real _____ncell_43_1_2_1_1; - _boolean ______split_136_42_1_2_1_1; - _integer ______split_137_42_1_2_1_1; - _real _____cell_42_1_2_1_1; - _real _____ncell_42_1_2_1_1; - _boolean ______split_136_41_1_2_1_1; - _integer ______split_137_41_1_2_1_1; - _real _____cell_41_1_2_1_1; - _real _____ncell_41_1_2_1_1; - _boolean ______split_136_40_1_2_1_1; - _integer ______split_137_40_1_2_1_1; - _real _____cell_40_1_2_1_1; - _real _____ncell_40_1_2_1_1; - _boolean ______split_136_39_1_2_1_1; - _integer ______split_137_39_1_2_1_1; - _real _____cell_39_1_2_1_1; - _real _____ncell_39_1_2_1_1; - _boolean ______split_136_38_1_2_1_1; - _integer ______split_137_38_1_2_1_1; - _real _____cell_38_1_2_1_1; - _real _____ncell_38_1_2_1_1; - _boolean ______split_136_37_1_2_1_1; - _integer ______split_137_37_1_2_1_1; - _real _____cell_37_1_2_1_1; - _real _____ncell_37_1_2_1_1; - _boolean ______split_136_36_1_2_1_1; - _integer ______split_137_36_1_2_1_1; - _real _____cell_36_1_2_1_1; - _real _____ncell_36_1_2_1_1; - _boolean ______split_136_35_1_2_1_1; - _integer ______split_137_35_1_2_1_1; - _real _____cell_35_1_2_1_1; - _real _____ncell_35_1_2_1_1; - _boolean ______split_136_34_1_2_1_1; - _integer ______split_137_34_1_2_1_1; - _real _____cell_34_1_2_1_1; - _real _____ncell_34_1_2_1_1; - _boolean ______split_136_33_1_2_1_1; - _integer ______split_137_33_1_2_1_1; - _real _____cell_33_1_2_1_1; - _real _____ncell_33_1_2_1_1; - _boolean ______split_136_32_1_2_1_1; - _integer ______split_137_32_1_2_1_1; - _real _____cell_32_1_2_1_1; - _real _____ncell_32_1_2_1_1; - _boolean ______split_136_31_1_2_1_1; - _integer ______split_137_31_1_2_1_1; - _real _____cell_31_1_2_1_1; - _real _____ncell_31_1_2_1_1; - _boolean ______split_136_30_1_2_1_1; - _integer ______split_137_30_1_2_1_1; - _real _____cell_30_1_2_1_1; - _real _____ncell_30_1_2_1_1; - _boolean ______split_136_29_1_2_1_1; - _integer ______split_137_29_1_2_1_1; - _real _____cell_29_1_2_1_1; - _real _____ncell_29_1_2_1_1; - _boolean ______split_136_28_1_2_1_1; - _integer ______split_137_28_1_2_1_1; - _real _____cell_28_1_2_1_1; - _real _____ncell_28_1_2_1_1; - _boolean ______split_136_27_1_2_1_1; - _integer ______split_137_27_1_2_1_1; - _real _____cell_27_1_2_1_1; - _real _____ncell_27_1_2_1_1; - _boolean ______split_136_26_1_2_1_1; - _integer ______split_137_26_1_2_1_1; - _real _____cell_26_1_2_1_1; - _real _____ncell_26_1_2_1_1; - _boolean ______split_136_25_1_2_1_1; - _integer ______split_137_25_1_2_1_1; - _real _____cell_25_1_2_1_1; - _real _____ncell_25_1_2_1_1; - _boolean ______split_136_24_1_2_1_1; - _integer ______split_137_24_1_2_1_1; - _real _____cell_24_1_2_1_1; - _real _____ncell_24_1_2_1_1; - _boolean ______split_136_23_1_2_1_1; - _integer ______split_137_23_1_2_1_1; - _real _____cell_23_1_2_1_1; - _real _____ncell_23_1_2_1_1; - _boolean ______split_136_22_1_2_1_1; - _integer ______split_137_22_1_2_1_1; - _real _____cell_22_1_2_1_1; - _real _____ncell_22_1_2_1_1; - _boolean ______split_136_21_1_2_1_1; - _integer ______split_137_21_1_2_1_1; - _real _____cell_21_1_2_1_1; - _real _____ncell_21_1_2_1_1; - _boolean ______split_136_20_1_2_1_1; - _integer ______split_137_20_1_2_1_1; - _real _____cell_20_1_2_1_1; - _real _____ncell_20_1_2_1_1; - _boolean ______split_136_19_1_2_1_1; - _integer ______split_137_19_1_2_1_1; - _real _____cell_19_1_2_1_1; - _real _____ncell_19_1_2_1_1; - _boolean ______split_136_18_1_2_1_1; - _integer ______split_137_18_1_2_1_1; - _real _____cell_18_1_2_1_1; - _real _____ncell_18_1_2_1_1; - _boolean ______split_136_17_1_2_1_1; - _integer ______split_137_17_1_2_1_1; - _real _____cell_17_1_2_1_1; - _real _____ncell_17_1_2_1_1; - _boolean ______split_136_16_1_2_1_1; - _integer ______split_137_16_1_2_1_1; - _real _____cell_16_1_2_1_1; - _real _____ncell_16_1_2_1_1; - _boolean ______split_136_15_1_2_1_1; - _integer ______split_137_15_1_2_1_1; - _real _____cell_15_1_2_1_1; - _real _____ncell_15_1_2_1_1; - _boolean ______split_136_14_1_2_1_1; - _integer ______split_137_14_1_2_1_1; - _real _____cell_14_1_2_1_1; - _real _____ncell_14_1_2_1_1; - _boolean ______split_136_13_1_2_1_1; - _integer ______split_137_13_1_2_1_1; - _real _____cell_13_1_2_1_1; - _real _____ncell_13_1_2_1_1; - _boolean ______split_136_12_1_2_1_1; - _integer ______split_137_12_1_2_1_1; - _real _____cell_12_1_2_1_1; - _real _____ncell_12_1_2_1_1; - _boolean ______split_136_11_1_2_1_1; - _integer ______split_137_11_1_2_1_1; - _real _____cell_11_1_2_1_1; - _real _____ncell_11_1_2_1_1; - _boolean ______split_136_10_1_2_1_1; - _integer ______split_137_10_1_2_1_1; - _real _____cell_10_1_2_1_1; - _real _____ncell_10_1_2_1_1; - _boolean ______split_136_9_1_2_1_1; - _integer ______split_137_9_1_2_1_1; - _real _____cell_9_1_2_1_1; - _real _____ncell_9_1_2_1_1; - _boolean ______split_136_8_1_2_1_1; - _integer ______split_137_8_1_2_1_1; - _real _____cell_8_1_2_1_1; - _real _____ncell_8_1_2_1_1; - _boolean ______split_136_7_1_2_1_1; - _integer ______split_137_7_1_2_1_1; - _real _____cell_7_1_2_1_1; - _real _____ncell_7_1_2_1_1; - _boolean ______split_136_6_1_2_1_1; - _integer ______split_137_6_1_2_1_1; - _real _____cell_6_1_2_1_1; - _real _____ncell_6_1_2_1_1; - _boolean ______split_136_5_1_2_1_1; - _integer ______split_137_5_1_2_1_1; - _real _____cell_5_1_2_1_1; - _real _____ncell_5_1_2_1_1; - _boolean ______split_136_4_1_2_1_1; - _integer ______split_137_4_1_2_1_1; - _real _____cell_4_1_2_1_1; - _real _____ncell_4_1_2_1_1; - _boolean ______split_136_3_1_2_1_1; - _integer ______split_137_3_1_2_1_1; - _real _____cell_3_1_2_1_1; - _real _____ncell_3_1_2_1_1; - _boolean ______split_136_2_1_2_1_1; - _integer ______split_137_2_1_2_1_1; - _real _____cell_2_1_2_1_1; - _real _____ncell_2_1_2_1_1; - _boolean ______split_136_1_1_2_1_1; - _integer ______split_137_1_1_2_1_1; - _real _____cell_1_1_2_1_1; - _real _____ncell_1_1_2_1_1; - convertible_update_acc _____acc_1_1_2_1_1; - convertible_update_acc _____acc_2_1_2_1_1; - convertible_update_acc _____acc_3_1_2_1_1; - convertible_update_acc _____acc_4_1_2_1_1; - convertible_update_acc _____acc_5_1_2_1_1; - convertible_update_acc _____acc_6_1_2_1_1; - convertible_update_acc _____acc_7_1_2_1_1; - convertible_update_acc _____acc_8_1_2_1_1; - convertible_update_acc _____acc_9_1_2_1_1; - convertible_update_acc _____acc_10_1_2_1_1; - convertible_update_acc _____acc_11_1_2_1_1; - convertible_update_acc _____acc_12_1_2_1_1; - convertible_update_acc _____acc_13_1_2_1_1; - convertible_update_acc _____acc_14_1_2_1_1; - convertible_update_acc _____acc_15_1_2_1_1; - convertible_update_acc _____acc_16_1_2_1_1; - convertible_update_acc _____acc_17_1_2_1_1; - convertible_update_acc _____acc_18_1_2_1_1; - convertible_update_acc _____acc_19_1_2_1_1; - convertible_update_acc _____acc_20_1_2_1_1; - convertible_update_acc _____acc_21_1_2_1_1; - convertible_update_acc _____acc_22_1_2_1_1; - convertible_update_acc _____acc_23_1_2_1_1; - convertible_update_acc _____acc_24_1_2_1_1; - convertible_update_acc _____acc_25_1_2_1_1; - convertible_update_acc _____acc_26_1_2_1_1; - convertible_update_acc _____acc_27_1_2_1_1; - convertible_update_acc _____acc_28_1_2_1_1; - convertible_update_acc _____acc_29_1_2_1_1; - convertible_update_acc _____acc_30_1_2_1_1; - convertible_update_acc _____acc_31_1_2_1_1; - convertible_update_acc _____acc_32_1_2_1_1; - convertible_update_acc _____acc_33_1_2_1_1; - convertible_update_acc _____acc_34_1_2_1_1; - convertible_update_acc _____acc_35_1_2_1_1; - convertible_update_acc _____acc_36_1_2_1_1; - convertible_update_acc _____acc_37_1_2_1_1; - convertible_update_acc _____acc_38_1_2_1_1; - convertible_update_acc _____acc_39_1_2_1_1; - convertible_update_acc _____acc_40_1_2_1_1; - convertible_update_acc _____acc_41_1_2_1_1; - convertible_update_acc _____acc_42_1_2_1_1; - convertible_update_acc _____acc_43_1_2_1_1; - convertible_update_acc _____acc_44_1_2_1_1; - convertible_update_acc _____acc_45_1_2_1_1; - convertible_update_acc _____acc_46_1_2_1_1; - convertible_update_acc _____acc_47_1_2_1_1; - convertible_update_acc _____acc_48_1_2_1_1; - convertible_update_acc _____acc_49_1_2_1_1; - _real ____acc_98_2_1_1; - _real ____acc_97_2_1_1; - _real ____acc_96_2_1_1; - _real ____acc_95_2_1_1; - _real ____acc_94_2_1_1; - _real ____acc_93_2_1_1; - _real ____acc_92_2_1_1; - _real ____acc_91_2_1_1; - _real ____acc_90_2_1_1; - _real ____acc_89_2_1_1; - _real ____acc_88_2_1_1; - _real ____acc_87_2_1_1; - _real ____acc_86_2_1_1; - _real ____acc_85_2_1_1; - _real ____acc_84_2_1_1; - _real ____acc_83_2_1_1; - _real ____acc_82_2_1_1; - _real ____acc_81_2_1_1; - _real ____acc_80_2_1_1; - _real ____acc_79_2_1_1; - _real ____acc_78_2_1_1; - _real ____acc_77_2_1_1; - _real ____acc_76_2_1_1; - _real ____acc_75_2_1_1; - _real ____acc_74_2_1_1; - _real ____acc_73_2_1_1; - _real ____acc_72_2_1_1; - _real ____acc_71_2_1_1; - _real ____acc_70_2_1_1; - _real ____acc_69_2_1_1; - _real ____acc_68_2_1_1; - _real ____acc_67_2_1_1; - _real ____acc_66_2_1_1; - _real ____acc_65_2_1_1; - _real ____acc_64_2_1_1; - _real ____acc_63_2_1_1; - _real ____acc_62_2_1_1; - _real ____acc_61_2_1_1; - _real ____acc_60_2_1_1; - _real ____acc_59_2_1_1; - _real ____acc_58_2_1_1; - _real ____acc_57_2_1_1; - _real ____acc_56_2_1_1; - _real ____acc_55_2_1_1; - _real ____acc_54_2_1_1; - _real ____acc_53_2_1_1; - _real ____acc_52_2_1_1; - _real ____acc_51_2_1_1; - _real ____acc_50_2_1_1; - _real ___i1_2_1_1; - _integer ___split_130_1_1; - _real ___split_129_1_1[50]; - _real ___split_128_1_1[50]; - _integer ___split_127_1_1; - _integer ___split_126_1_1; - _real __a_3_1[50]; - _real __pre_a_2_1[50]; - _integer __i_2_1; - _boolean _ac_cond_1; - _integer _pst_1; - _integer __split_138_1; - _boolean __split_139_1; - _boolean __split_140_1; - _integer __split_141_1; - _boolean __split_142_1; - _boolean __split_143_1; - _boolean __split_144_1; - _integer __split_145_1; - _integer __split_146_1; - _boolean __split_147_1; - _boolean __split_148_1; - _integer __split_149_1; - _real _tBrake_1; - _real _tChoc_1; - _real _Accel_1; - _real __split_11_1; - _real __split_12_1; - _real __split_13_1; - _real __split_14_1; - _real __split_15_1; - _real __split_16_1; - _real __split_17_1; - _boolean ____split_10_1_1_1; - _real ____presqrt_5_2_1_1; - _boolean ______split_1_5_1_2_1_1; - _real ______split_2_5_1_2_1_1; - _boolean _____ecart_4_1_2_1_1; - _real _____sqrt_4_1_2_1_1; - _real ______split_110_1_1_2_1_1; - _real ______split_111_1_1_2_1_1; - _real ______split_112_1_1_2_1_1; - _real ______split_113_1_1_2_1_1; - _real ______split_114_1_1_2_1_1; - _real ______split_115_1_1_2_1_1; - _real ______split_116_1_1_2_1_1; - _real ______split_117_1_1_2_1_1; - _boolean ________split_1_3_1_1_1_2_1_1; - _real ________split_2_3_1_1_1_2_1_1; - _boolean _______ecart_2_1_1_1_2_1_1; - _real _______sqrt_2_1_1_1_2_1_1; - _real ________split_94_1_1_1_1_2_1_1; - _real ________split_95_1_1_1_1_2_1_1; - _real ________split_96_1_1_1_1_2_1_1; - _real ________split_97_1_1_1_1_2_1_1; - _real ________split_98_1_1_1_1_2_1_1; - _real ________split_99_1_1_1_1_2_1_1; - _real ________split_101_1_1_1_1_2_1_1; - _boolean __________split_1_1_1_1_1_1_1_2_1_1; - _real __________split_2_1_1_1_1_1_1_2_1_1; - _real _________split_93_1_1_1_1_1_2_1_1; - _real _________split_92_1_1_1_1_1_2_1_1; - _real _________split_91_1_1_1_1_1_2_1_1; - _real _________split_90_1_1_1_1_1_2_1_1; - _real ________sqrt_1_1_1_1_1_2_1_1; - _boolean ________ecart_1_1_1_1_1_2_1_1; - _real _________split_2_2_1_1_1_1_2_1_1; - _boolean _________split_1_2_1_1_1_1_2_1_1; - _real _______split_109_1_1_1_2_1_1; - _real _______split_108_1_1_1_2_1_1; - _real _______split_107_1_1_1_2_1_1; - _real _______split_106_1_1_1_2_1_1; - _real _______split_105_1_1_1_2_1_1; - _real _______split_104_1_1_1_2_1_1; - _real _______split_103_1_1_1_2_1_1; - _real _______split_102_1_1_1_2_1_1; - _real ______sqrt_3_1_1_2_1_1; - _boolean ______ecart_3_1_1_2_1_1; - _real _______split_2_4_1_1_2_1_1; - _boolean _______split_1_4_1_1_2_1_1; - _real _____split_125_1_2_1_1; - _real _____split_124_1_2_1_1; - _real _____split_123_1_2_1_1; - _real _____split_122_1_2_1_1; - _real _____split_121_1_2_1_1; - _real _____split_120_1_2_1_1; - _real _____split_119_1_2_1_1; - _real _____split_118_1_2_1_1; - _real ____sqrt_5_2_1_1; - _boolean ____ecart_5_2_1_1; - _real ____presqrt_5_1_1_1; - _boolean ______split_1_5_1_1_1_1; - _real ______split_2_5_1_1_1_1; - _boolean _____ecart_4_1_1_1_1; - _real _____sqrt_4_1_1_1_1; - _real ______split_110_1_1_1_1_1; - _real ______split_111_1_1_1_1_1; - _real ______split_112_1_1_1_1_1; - _real ______split_113_1_1_1_1_1; - _real ______split_114_1_1_1_1_1; - _real ______split_115_1_1_1_1_1; - _real ______split_116_1_1_1_1_1; - _real ______split_117_1_1_1_1_1; - _boolean ________split_1_3_1_1_1_1_1_1; - _real ________split_2_3_1_1_1_1_1_1; - _boolean _______ecart_2_1_1_1_1_1_1; - _real _______sqrt_2_1_1_1_1_1_1; - _real ________split_94_1_1_1_1_1_1_1; - _real ________split_95_1_1_1_1_1_1_1; - _real ________split_96_1_1_1_1_1_1_1; - _real ________split_97_1_1_1_1_1_1_1; - _real ________split_98_1_1_1_1_1_1_1; - _real ________split_99_1_1_1_1_1_1_1; - _real ________split_101_1_1_1_1_1_1_1; - _boolean __________split_1_1_1_1_1_1_1_1_1_1; - _real __________split_2_1_1_1_1_1_1_1_1_1; - _real _________split_93_1_1_1_1_1_1_1_1; - _real _________split_92_1_1_1_1_1_1_1_1; - _real _________split_91_1_1_1_1_1_1_1_1; - _real _________split_90_1_1_1_1_1_1_1_1; - _real ________sqrt_1_1_1_1_1_1_1_1; - _boolean ________ecart_1_1_1_1_1_1_1_1; - _real _________split_2_2_1_1_1_1_1_1_1; - _boolean _________split_1_2_1_1_1_1_1_1_1; - _real _______split_109_1_1_1_1_1_1; - _real _______split_108_1_1_1_1_1_1; - _real _______split_107_1_1_1_1_1_1; - _real _______split_106_1_1_1_1_1_1; - _real _______split_105_1_1_1_1_1_1; - _real _______split_104_1_1_1_1_1_1; - _real _______split_103_1_1_1_1_1_1; - _real _______split_102_1_1_1_1_1_1; - _real ______sqrt_3_1_1_1_1_1; - _boolean ______ecart_3_1_1_1_1_1; - _real _______split_2_4_1_1_1_1_1; - _boolean _______split_1_4_1_1_1_1_1; - _real _____split_125_1_1_1_1; - _real _____split_124_1_1_1_1; - _real _____split_123_1_1_1_1; - _real _____split_122_1_1_1_1; - _real _____split_121_1_1_1_1; - _real _____split_120_1_1_1_1; - _real _____split_119_1_1_1_1; - _real _____split_118_1_1_1_1; - _real ____sqrt_5_1_1_1; - _boolean ____ecart_5_1_1_1; - _real ___split_77_1_1; - _real ___split_76_1_1; - _real ___split_75_1_1; - _real ___split_74_1_1; - _real ___split_73_1_1; - _real ___split_72_1_1; - _real ___split_71_1_1; - _real ___split_70_1_1; - _real ___split_69_1_1; - _real ___split_68_1_1; - _real ___split_67_1_1; - _real ___split_66_1_1; - _real ___split_65_1_1; - _real ___split_64_1_1; - _real ___split_63_1_1; - _real ___split_62_1_1; - _real ___split_61_1_1; - _real ___split_60_1_1; - _real ___split_59_1_1; - _integer ___split_58_1_1; - _integer ___split_57_1_1; - _boolean ___split_56_1_1; - _boolean ___split_55_1_1; - _integer ___split_54_1_1; - _boolean ___split_53_1_1; - _boolean ___split_52_1_1; - _real ___split_51_1_1; - _real ___split_50_1_1; - _real ___split_49_1_1; - _real __delta_1_1; - _integer __sol_nb_1_1; - _real __a2_1_1; - _real __b2_1_1; - _real __delta_pos_1_1; - _real ___split_4_1_1; - _boolean _split_7; - _real _split_6; - _real _split_5; - _integer St; - _boolean _split_8; - _boolean _split_9; - - Lustre_pre_get(&__split_89_1,&ctx->Lustre_pre_ctx_tab[3]); - Lustre_arrow_step(0.0,__split_89_1,Speed,&ctx->Lustre_arrow_ctx_tab[3]); - switch (St){ - case convertible_anti_col: - _split_5 = *Speed; - ___split_4_1_1 = _split_5 * _split_5; - Lustre_slash_step(___split_4_1_1,5500.0,&_tBrake_1); - __split_17_1 = 2.0 + _tBrake_1; - _split_6 = Dist; - __split_15_1 = - 2.0; - __split_16_1 = __split_15_1 * _split_6; - ___split_60_1_1 = - __split_16_1; - __split_14_1 = 2.0 * _split_5; - Lustre_slash_step(___split_60_1_1,__split_14_1,&___split_61_1_1); - switch (__sol_nb_1_1){ - case convertible_deg1: - ___split_62_1_1 = ___split_61_1_1; - _tChoc_1 = ___split_62_1_1; - break; - case convertible_no_sol: - ___split_59_1_1 = - 1.0; - _tChoc_1 = ___split_59_1_1; - break; -} - ___split_63_1_1 = - __split_14_1; - Lustre_pre_get(&__split_11_1,&ctx->Lustre_pre_ctx_tab[0]); - __split_12_1 = _split_5 - __split_11_1; - Lustre_slash_step(__split_12_1,0.1,&__split_13_1); - Lustre_arrow_step(0.0,__split_13_1,&_Accel_1,&ctx->Lustre_arrow_ctx_tab[0]); - ___split_64_1_1 = 2.0 * _Accel_1; - Lustre_slash_step(___split_63_1_1,___split_64_1_1,&___split_65_1_1); - switch (__sol_nb_1_1){ - case convertible_one_sol: - ___split_66_1_1 = ___split_65_1_1; - _tChoc_1 = ___split_66_1_1; - break; -} - ___split_50_1_1 = 4.0 * _Accel_1; - ___split_51_1_1 = ___split_50_1_1 * __split_16_1; - ___split_49_1_1 = __split_14_1 * __split_14_1; - __delta_1_1 = ___split_49_1_1 - ___split_51_1_1; - switch (__sol_nb_1_1){ - case convertible_two_sol: - __delta_pos_1_1 = __delta_1_1; - ____presqrt_5_1_1_1 = 1.0; - Lustre_slash_step(__delta_pos_1_1,____presqrt_5_1_1_1,&_____split_120_1_1_1_1); - _____split_121_1_1_1_1 = ____presqrt_5_1_1_1 + _____split_120_1_1_1_1; - ____sqrt_5_1_1_1 = 0.5 * _____split_121_1_1_1_1; - _____split_118_1_1_1_1 = ____presqrt_5_1_1_1 - ____sqrt_5_1_1_1; - ______split_2_5_1_1_1_1 = - _____split_118_1_1_1_1; - ______split_1_5_1_1_1_1 = _____split_118_1_1_1_1 >= 0.0; - if (______split_1_5_1_1_1_1 == _true) { - _____split_119_1_1_1_1 = _____split_118_1_1_1_1; - } else { - _____split_119_1_1_1_1 = ______split_2_5_1_1_1_1; - } - ____ecart_5_1_1_1 = _____split_119_1_1_1_1 < 0.0005; - switch (____ecart_5_1_1_1){ - case _false: - _____split_123_1_1_1_1 = ____sqrt_5_1_1_1; - _____split_122_1_1_1_1 = __delta_pos_1_1; - Lustre_slash_step(_____split_122_1_1_1_1,_____split_123_1_1_1_1,&______split_112_1_1_1_1_1); - ______split_113_1_1_1_1_1 = _____split_123_1_1_1_1 + ______split_112_1_1_1_1_1; - _____sqrt_4_1_1_1_1 = 0.5 * ______split_113_1_1_1_1_1; - ______split_110_1_1_1_1_1 = _____split_123_1_1_1_1 - _____sqrt_4_1_1_1_1; - _______split_2_4_1_1_1_1_1 = - ______split_110_1_1_1_1_1; - _______split_1_4_1_1_1_1_1 = ______split_110_1_1_1_1_1 >= 0.0; - if (_______split_1_4_1_1_1_1_1 == _true) { - ______split_111_1_1_1_1_1 = ______split_110_1_1_1_1_1; - } else { - ______split_111_1_1_1_1_1 = _______split_2_4_1_1_1_1_1; - } - _____ecart_4_1_1_1_1 = ______split_111_1_1_1_1_1 < 0.0005; - switch (_____ecart_4_1_1_1_1){ - case _false: - ______split_115_1_1_1_1_1 = _____sqrt_4_1_1_1_1; - ______split_114_1_1_1_1_1 = _____split_122_1_1_1_1; - Lustre_slash_step(______split_114_1_1_1_1_1,______split_115_1_1_1_1_1,&_______split_104_1_1_1_1_1_1); - _______split_105_1_1_1_1_1_1 = ______split_115_1_1_1_1_1 + _______split_104_1_1_1_1_1_1; - ______sqrt_3_1_1_1_1_1 = 0.5 * _______split_105_1_1_1_1_1_1; - _______split_102_1_1_1_1_1_1 = ______split_115_1_1_1_1_1 - ______sqrt_3_1_1_1_1_1; - ________split_2_3_1_1_1_1_1_1 = - _______split_102_1_1_1_1_1_1; - ________split_1_3_1_1_1_1_1_1 = _______split_102_1_1_1_1_1_1 >= 0.0; - if (________split_1_3_1_1_1_1_1_1 == _true) { - _______split_103_1_1_1_1_1_1 = _______split_102_1_1_1_1_1_1; - } else { - _______split_103_1_1_1_1_1_1 = ________split_2_3_1_1_1_1_1_1; - } - ______ecart_3_1_1_1_1_1 = _______split_103_1_1_1_1_1_1 < 0.0005; - switch (______ecart_3_1_1_1_1_1){ - case _false: - _______split_107_1_1_1_1_1_1 = ______sqrt_3_1_1_1_1_1; - _______split_106_1_1_1_1_1_1 = ______split_114_1_1_1_1_1; - Lustre_slash_step(_______split_106_1_1_1_1_1_1,_______split_107_1_1_1_1_1_1,&________split_96_1_1_1_1_1_1_1); - ________split_97_1_1_1_1_1_1_1 = _______split_107_1_1_1_1_1_1 + ________split_96_1_1_1_1_1_1_1; - _______sqrt_2_1_1_1_1_1_1 = 0.5 * ________split_97_1_1_1_1_1_1_1; - ________split_94_1_1_1_1_1_1_1 = _______split_107_1_1_1_1_1_1 - _______sqrt_2_1_1_1_1_1_1; - _________split_2_2_1_1_1_1_1_1_1 = - ________split_94_1_1_1_1_1_1_1; - _________split_1_2_1_1_1_1_1_1_1 = ________split_94_1_1_1_1_1_1_1 >= 0.0; - if (_________split_1_2_1_1_1_1_1_1_1 == _true) { - ________split_95_1_1_1_1_1_1_1 = ________split_94_1_1_1_1_1_1_1; - } else { - ________split_95_1_1_1_1_1_1_1 = _________split_2_2_1_1_1_1_1_1_1; - } - _______ecart_2_1_1_1_1_1_1 = ________split_95_1_1_1_1_1_1_1 < 0.0005; - switch (_______ecart_2_1_1_1_1_1_1){ - case _false: - ________split_98_1_1_1_1_1_1_1 = _______split_106_1_1_1_1_1_1; - ________split_99_1_1_1_1_1_1_1 = _______sqrt_2_1_1_1_1_1_1; - Lustre_slash_step(________split_98_1_1_1_1_1_1_1,________split_99_1_1_1_1_1_1_1,&_________split_92_1_1_1_1_1_1_1_1); - _________split_93_1_1_1_1_1_1_1_1 = ________split_99_1_1_1_1_1_1_1 + _________split_92_1_1_1_1_1_1_1_1; - ________sqrt_1_1_1_1_1_1_1_1 = 0.5 * _________split_93_1_1_1_1_1_1_1_1; - _______split_108_1_1_1_1_1_1 = ________sqrt_1_1_1_1_1_1_1_1; - break; - case _true: - ________split_101_1_1_1_1_1_1_1 = _______sqrt_2_1_1_1_1_1_1; - _______split_108_1_1_1_1_1_1 = ________split_101_1_1_1_1_1_1_1; - break; -} - ______split_116_1_1_1_1_1 = _______split_108_1_1_1_1_1_1; - break; - case _true: - _______split_109_1_1_1_1_1_1 = ______sqrt_3_1_1_1_1_1; - ______split_116_1_1_1_1_1 = _______split_109_1_1_1_1_1_1; - break; -} - _____split_124_1_1_1_1 = ______split_116_1_1_1_1_1; - break; - case _true: - ______split_117_1_1_1_1_1 = _____sqrt_4_1_1_1_1; - _____split_124_1_1_1_1 = ______split_117_1_1_1_1_1; - break; -} - ___split_68_1_1 = _____split_124_1_1_1_1; - break; - case _true: - _____split_125_1_1_1_1 = ____sqrt_5_1_1_1; - ___split_68_1_1 = _____split_125_1_1_1_1; - break; -} - __a2_1_1 = _Accel_1; - ___split_69_1_1 = 2.0 * __a2_1_1; - Lustre_slash_step(___split_68_1_1,___split_69_1_1,&___split_70_1_1); - __b2_1_1 = __split_14_1; - ___split_67_1_1 = - __b2_1_1; - ___split_71_1_1 = ___split_67_1_1 + ___split_70_1_1; - ____presqrt_5_2_1_1 = 1.0; - Lustre_slash_step(__delta_pos_1_1,____presqrt_5_2_1_1,&_____split_120_1_2_1_1); - _____split_121_1_2_1_1 = ____presqrt_5_2_1_1 + _____split_120_1_2_1_1; - ____sqrt_5_2_1_1 = 0.5 * _____split_121_1_2_1_1; - _____split_118_1_2_1_1 = ____presqrt_5_2_1_1 - ____sqrt_5_2_1_1; - ______split_2_5_1_2_1_1 = - _____split_118_1_2_1_1; - ______split_1_5_1_2_1_1 = _____split_118_1_2_1_1 >= 0.0; - if (______split_1_5_1_2_1_1 == _true) { - _____split_119_1_2_1_1 = _____split_118_1_2_1_1; - } else { - _____split_119_1_2_1_1 = ______split_2_5_1_2_1_1; - } - ____ecart_5_2_1_1 = _____split_119_1_2_1_1 < 0.0005; - switch (____ecart_5_2_1_1){ - case _false: - _____split_123_1_2_1_1 = ____sqrt_5_2_1_1; - _____split_122_1_2_1_1 = __delta_pos_1_1; - Lustre_slash_step(_____split_122_1_2_1_1,_____split_123_1_2_1_1,&______split_112_1_1_2_1_1); - ______split_113_1_1_2_1_1 = _____split_123_1_2_1_1 + ______split_112_1_1_2_1_1; - _____sqrt_4_1_2_1_1 = 0.5 * ______split_113_1_1_2_1_1; - ______split_110_1_1_2_1_1 = _____split_123_1_2_1_1 - _____sqrt_4_1_2_1_1; - _______split_2_4_1_1_2_1_1 = - ______split_110_1_1_2_1_1; - _______split_1_4_1_1_2_1_1 = ______split_110_1_1_2_1_1 >= 0.0; - if (_______split_1_4_1_1_2_1_1 == _true) { - ______split_111_1_1_2_1_1 = ______split_110_1_1_2_1_1; - } else { - ______split_111_1_1_2_1_1 = _______split_2_4_1_1_2_1_1; - } - _____ecart_4_1_2_1_1 = ______split_111_1_1_2_1_1 < 0.0005; - switch (_____ecart_4_1_2_1_1){ - case _false: - ______split_115_1_1_2_1_1 = _____sqrt_4_1_2_1_1; - ______split_114_1_1_2_1_1 = _____split_122_1_2_1_1; - Lustre_slash_step(______split_114_1_1_2_1_1,______split_115_1_1_2_1_1,&_______split_104_1_1_1_2_1_1); - _______split_105_1_1_1_2_1_1 = ______split_115_1_1_2_1_1 + _______split_104_1_1_1_2_1_1; - ______sqrt_3_1_1_2_1_1 = 0.5 * _______split_105_1_1_1_2_1_1; - _______split_102_1_1_1_2_1_1 = ______split_115_1_1_2_1_1 - ______sqrt_3_1_1_2_1_1; - ________split_2_3_1_1_1_2_1_1 = - _______split_102_1_1_1_2_1_1; - ________split_1_3_1_1_1_2_1_1 = _______split_102_1_1_1_2_1_1 >= 0.0; - if (________split_1_3_1_1_1_2_1_1 == _true) { - _______split_103_1_1_1_2_1_1 = _______split_102_1_1_1_2_1_1; - } else { - _______split_103_1_1_1_2_1_1 = ________split_2_3_1_1_1_2_1_1; - } - ______ecart_3_1_1_2_1_1 = _______split_103_1_1_1_2_1_1 < 0.0005; - switch (______ecart_3_1_1_2_1_1){ - case _false: - _______split_107_1_1_1_2_1_1 = ______sqrt_3_1_1_2_1_1; - _______split_106_1_1_1_2_1_1 = ______split_114_1_1_2_1_1; - Lustre_slash_step(_______split_106_1_1_1_2_1_1,_______split_107_1_1_1_2_1_1,&________split_96_1_1_1_1_2_1_1); - ________split_97_1_1_1_1_2_1_1 = _______split_107_1_1_1_2_1_1 + ________split_96_1_1_1_1_2_1_1; - _______sqrt_2_1_1_1_2_1_1 = 0.5 * ________split_97_1_1_1_1_2_1_1; - ________split_94_1_1_1_1_2_1_1 = _______split_107_1_1_1_2_1_1 - _______sqrt_2_1_1_1_2_1_1; - _________split_2_2_1_1_1_1_2_1_1 = - ________split_94_1_1_1_1_2_1_1; - _________split_1_2_1_1_1_1_2_1_1 = ________split_94_1_1_1_1_2_1_1 >= 0.0; - if (_________split_1_2_1_1_1_1_2_1_1 == _true) { - ________split_95_1_1_1_1_2_1_1 = ________split_94_1_1_1_1_2_1_1; - } else { - ________split_95_1_1_1_1_2_1_1 = _________split_2_2_1_1_1_1_2_1_1; - } - _______ecart_2_1_1_1_2_1_1 = ________split_95_1_1_1_1_2_1_1 < 0.0005; - switch (_______ecart_2_1_1_1_2_1_1){ - case _false: - ________split_98_1_1_1_1_2_1_1 = _______split_106_1_1_1_2_1_1; - ________split_99_1_1_1_1_2_1_1 = _______sqrt_2_1_1_1_2_1_1; - Lustre_slash_step(________split_98_1_1_1_1_2_1_1,________split_99_1_1_1_1_2_1_1,&_________split_92_1_1_1_1_1_2_1_1); - _________split_93_1_1_1_1_1_2_1_1 = ________split_99_1_1_1_1_2_1_1 + _________split_92_1_1_1_1_1_2_1_1; - ________sqrt_1_1_1_1_1_2_1_1 = 0.5 * _________split_93_1_1_1_1_1_2_1_1; - _______split_108_1_1_1_2_1_1 = ________sqrt_1_1_1_1_1_2_1_1; - break; - case _true: - ________split_101_1_1_1_1_2_1_1 = _______sqrt_2_1_1_1_2_1_1; - _______split_108_1_1_1_2_1_1 = ________split_101_1_1_1_1_2_1_1; - break; -} - ______split_116_1_1_2_1_1 = _______split_108_1_1_1_2_1_1; - break; - case _true: - _______split_109_1_1_1_2_1_1 = ______sqrt_3_1_1_2_1_1; - ______split_116_1_1_2_1_1 = _______split_109_1_1_1_2_1_1; - break; -} - _____split_124_1_2_1_1 = ______split_116_1_1_2_1_1; - break; - case _true: - ______split_117_1_1_2_1_1 = _____sqrt_4_1_2_1_1; - _____split_124_1_2_1_1 = ______split_117_1_1_2_1_1; - break; -} - ___split_73_1_1 = _____split_124_1_2_1_1; - break; - case _true: - _____split_125_1_2_1_1 = ____sqrt_5_2_1_1; - ___split_73_1_1 = _____split_125_1_2_1_1; - break; -} - ___split_74_1_1 = 2.0 * __a2_1_1; - Lustre_slash_step(___split_73_1_1,___split_74_1_1,&___split_75_1_1); - ___split_72_1_1 = - __b2_1_1; - ___split_76_1_1 = ___split_72_1_1 - ___split_75_1_1; - ____split_10_1_1_1 = ___split_71_1_1 < ___split_76_1_1; - if (____split_10_1_1_1 == _true) { - ___split_77_1_1 = ___split_76_1_1; - } else { - ___split_77_1_1 = ___split_71_1_1; - } - _tChoc_1 = ___split_77_1_1; - break; -} - _split_7 = _tChoc_1 < __split_17_1; - *Danger = _split_7; - Lustre_pre_set(_split_5,&ctx->Lustre_pre_ctx_tab[0]); - switch (__sol_nb_1_1){ - case convertible_two_sol: - switch (____ecart_5_1_1_1){ - case _false: - switch (_____ecart_4_1_1_1_1){ - case _false: - switch (______ecart_3_1_1_1_1_1){ - case _false: - switch (_______ecart_2_1_1_1_1_1_1){ - case _false: - _________split_90_1_1_1_1_1_1_1_1 = ________split_99_1_1_1_1_1_1_1 - ________sqrt_1_1_1_1_1_1_1_1; - __________split_2_1_1_1_1_1_1_1_1_1 = - _________split_90_1_1_1_1_1_1_1_1; - __________split_1_1_1_1_1_1_1_1_1_1 = _________split_90_1_1_1_1_1_1_1_1 >= 0.0; - if (__________split_1_1_1_1_1_1_1_1_1_1 == _true) { - _________split_91_1_1_1_1_1_1_1_1 = _________split_90_1_1_1_1_1_1_1_1; - } else { - _________split_91_1_1_1_1_1_1_1_1 = __________split_2_1_1_1_1_1_1_1_1_1; - } - ________ecart_1_1_1_1_1_1_1_1 = _________split_91_1_1_1_1_1_1_1_1 < 0.0005; - break; -} - break; -} - break; -} - break; -} - switch (____ecart_5_2_1_1){ - case _false: - switch (_____ecart_4_1_2_1_1){ - case _false: - switch (______ecart_3_1_1_2_1_1){ - case _false: - switch (_______ecart_2_1_1_1_2_1_1){ - case _false: - _________split_90_1_1_1_1_1_2_1_1 = ________split_99_1_1_1_1_2_1_1 - ________sqrt_1_1_1_1_1_2_1_1; - __________split_2_1_1_1_1_1_1_2_1_1 = - _________split_90_1_1_1_1_1_2_1_1; - __________split_1_1_1_1_1_1_1_2_1_1 = _________split_90_1_1_1_1_1_2_1_1 >= 0.0; - if (__________split_1_1_1_1_1_1_1_2_1_1 == _true) { - _________split_91_1_1_1_1_1_2_1_1 = _________split_90_1_1_1_1_1_2_1_1; - } else { - _________split_91_1_1_1_1_1_2_1_1 = __________split_2_1_1_1_1_1_1_2_1_1; - } - ________ecart_1_1_1_1_1_2_1_1 = _________split_91_1_1_1_1_1_2_1_1 < 0.0005; - break; -} - break; -} - break; -} - break; -} - break; -} - ___split_52_1_1 = _Accel_1 == 0.0; - ___split_53_1_1 = __split_14_1 == 0.0; - if (___split_53_1_1 == _true) { - ___split_54_1_1 = convertible_no_sol; - } else { - ___split_54_1_1 = convertible_deg1; - } - ___split_56_1_1 = __delta_1_1 == 0.0; - if (___split_56_1_1 == _true) { - ___split_57_1_1 = convertible_one_sol; - } else { - ___split_57_1_1 = convertible_two_sol; - } - ___split_55_1_1 = __delta_1_1 < 0.0; - if (___split_55_1_1 == _true) { - ___split_58_1_1 = convertible_no_sol; - } else { - ___split_58_1_1 = ___split_57_1_1; - } - if (___split_52_1_1 == _true) { - __sol_nb_1_1 = ___split_54_1_1; - } else { - __sol_nb_1_1 = ___split_58_1_1; - } - break; - case convertible_run: - *Danger = _false; - break; - case convertible_stationnary: - *Danger = _false; - break; -} - Lustre_pre_2_get(&__split_138_1,&ctx->Lustre_pre_2_ctx_tab[0]); - _ac_cond_1 = *Speed >= 110.0; - switch (_pst_1){ - case convertible_anti_col: - __split_147_1 = _ac_cond_1; - __split_148_1 = ! __split_147_1; - if (__split_148_1 == _true) { - __split_149_1 = convertible_run; - } else { - __split_149_1 = convertible_anti_col; - } - St = __split_149_1; - break; -} - __split_143_1 = *Speed == 0.0; - switch (_pst_1){ - case convertible_run: - __split_144_1 = __split_143_1; - if (__split_144_1 == _true) { - __split_145_1 = convertible_stationnary; - } else { - __split_145_1 = convertible_run; - } - __split_142_1 = _ac_cond_1; - if (__split_142_1 == _true) { - __split_146_1 = convertible_anti_col; - } else { - __split_146_1 = __split_145_1; - } - St = __split_146_1; - break; -} - switch (_pst_3){ - case convertible_in_motion: - __split_22_1 = Done; - if (__split_22_1 == _true) { - __split_23_1 = convertible_locked; - } else { - __split_23_1 = convertible_in_motion; - } - _st_2 = __split_23_1; - break; -} - __split_19_1 = OnOff & Parked; - switch (_pst_3){ - case convertible_locked: - __split_20_1 = __split_19_1; - if (__split_20_1 == _true) { - __split_21_1 = convertible_in_motion; - } else { - __split_21_1 = convertible_locked; - } - _st_2 = __split_21_1; - break; -} - *Locked = _st_2 == convertible_locked; - __split_139_1 = Start & *Locked; - switch (_pst_1){ - case convertible_stationnary: - __split_140_1 = __split_139_1; - if (__split_140_1 == _true) { - __split_141_1 = convertible_run; - } else { - __split_141_1 = convertible_stationnary; - } - St = __split_141_1; - break; -} - Lustre_pre_2_set(St,&ctx->Lustre_pre_2_ctx_tab[0]); - Lustre_arrow_2_step(convertible_stationnary,__split_138_1,&_pst_1,&ctx->Lustre_arrow_2_ctx_tab[0]); - if (Rot == _true) { - _dx_1 = 1.4; - } else { - _dx_1 = 0.0; - } - if (Tick == _true) { - _tx_1 = 0.1; - } else { - _tx_1 = 0.0; - } - _TickOrRot_1 = Tick | Rot; - Lustre_pre_get(&__split_78_1,&ctx->Lustre_pre_ctx_tab[1]); - Lustre_arrow_step(0.0,__split_78_1,&_pd_1,&ctx->Lustre_arrow_ctx_tab[1]); - switch (_TickOrRot_1){ - case _false: - __split_80_1 = _pd_1; - _d_1 = __split_80_1; - break; - case _true: - __split_81_1 = _dx_1; - ____split_3_2_1_1.v = __split_81_1; - _____acc_1_1_2_1_1.v = ____split_3_2_1_1.v; - _____acc_2_1_2_1_1.v = _____acc_1_1_2_1_1.v; - _____acc_3_1_2_1_1.v = _____acc_2_1_2_1_1.v; - _____acc_4_1_2_1_1.v = _____acc_3_1_2_1_1.v; - _____acc_5_1_2_1_1.v = _____acc_4_1_2_1_1.v; - _____acc_6_1_2_1_1.v = _____acc_5_1_2_1_1.v; - _____acc_7_1_2_1_1.v = _____acc_6_1_2_1_1.v; - _____acc_8_1_2_1_1.v = _____acc_7_1_2_1_1.v; - _____acc_9_1_2_1_1.v = _____acc_8_1_2_1_1.v; - _____acc_10_1_2_1_1.v = _____acc_9_1_2_1_1.v; - _____acc_11_1_2_1_1.v = _____acc_10_1_2_1_1.v; - _____acc_12_1_2_1_1.v = _____acc_11_1_2_1_1.v; - _____acc_13_1_2_1_1.v = _____acc_12_1_2_1_1.v; - _____acc_14_1_2_1_1.v = _____acc_13_1_2_1_1.v; - _____acc_15_1_2_1_1.v = _____acc_14_1_2_1_1.v; - _____acc_16_1_2_1_1.v = _____acc_15_1_2_1_1.v; - _____acc_17_1_2_1_1.v = _____acc_16_1_2_1_1.v; - _____acc_18_1_2_1_1.v = _____acc_17_1_2_1_1.v; - _____acc_19_1_2_1_1.v = _____acc_18_1_2_1_1.v; - _____acc_20_1_2_1_1.v = _____acc_19_1_2_1_1.v; - _____acc_21_1_2_1_1.v = _____acc_20_1_2_1_1.v; - _____acc_22_1_2_1_1.v = _____acc_21_1_2_1_1.v; - _____acc_23_1_2_1_1.v = _____acc_22_1_2_1_1.v; - _____acc_24_1_2_1_1.v = _____acc_23_1_2_1_1.v; - _____acc_25_1_2_1_1.v = _____acc_24_1_2_1_1.v; - _____acc_26_1_2_1_1.v = _____acc_25_1_2_1_1.v; - _____acc_27_1_2_1_1.v = _____acc_26_1_2_1_1.v; - _____acc_28_1_2_1_1.v = _____acc_27_1_2_1_1.v; - _____acc_29_1_2_1_1.v = _____acc_28_1_2_1_1.v; - _____acc_30_1_2_1_1.v = _____acc_29_1_2_1_1.v; - _____acc_31_1_2_1_1.v = _____acc_30_1_2_1_1.v; - _____acc_32_1_2_1_1.v = _____acc_31_1_2_1_1.v; - _____acc_33_1_2_1_1.v = _____acc_32_1_2_1_1.v; - _____acc_34_1_2_1_1.v = _____acc_33_1_2_1_1.v; - _____acc_35_1_2_1_1.v = _____acc_34_1_2_1_1.v; - _____acc_36_1_2_1_1.v = _____acc_35_1_2_1_1.v; - _____acc_37_1_2_1_1.v = _____acc_36_1_2_1_1.v; - _____acc_38_1_2_1_1.v = _____acc_37_1_2_1_1.v; - _____acc_39_1_2_1_1.v = _____acc_38_1_2_1_1.v; - _____acc_40_1_2_1_1.v = _____acc_39_1_2_1_1.v; - _____acc_41_1_2_1_1.v = _____acc_40_1_2_1_1.v; - _____acc_42_1_2_1_1.v = _____acc_41_1_2_1_1.v; - _____acc_43_1_2_1_1.v = _____acc_42_1_2_1_1.v; - _____acc_44_1_2_1_1.v = _____acc_43_1_2_1_1.v; - _____acc_45_1_2_1_1.v = _____acc_44_1_2_1_1.v; - _____acc_46_1_2_1_1.v = _____acc_45_1_2_1_1.v; - _____acc_47_1_2_1_1.v = _____acc_46_1_2_1_1.v; - _____acc_48_1_2_1_1.v = _____acc_47_1_2_1_1.v; - _____acc_49_1_2_1_1.v = _____acc_48_1_2_1_1.v; - ____split_3_2_1_1.i = 0; - ______split_137_1_1_2_1_1 = ____split_3_2_1_1.i + 1; - _____acc_1_1_2_1_1.i = ______split_137_1_1_2_1_1; - ______split_137_2_1_2_1_1 = _____acc_1_1_2_1_1.i + 1; - _____acc_2_1_2_1_1.i = ______split_137_2_1_2_1_1; - ______split_137_3_1_2_1_1 = _____acc_2_1_2_1_1.i + 1; - _____acc_3_1_2_1_1.i = ______split_137_3_1_2_1_1; - ______split_137_4_1_2_1_1 = _____acc_3_1_2_1_1.i + 1; - _____acc_4_1_2_1_1.i = ______split_137_4_1_2_1_1; - ______split_137_5_1_2_1_1 = _____acc_4_1_2_1_1.i + 1; - _____acc_5_1_2_1_1.i = ______split_137_5_1_2_1_1; - ______split_137_6_1_2_1_1 = _____acc_5_1_2_1_1.i + 1; - _____acc_6_1_2_1_1.i = ______split_137_6_1_2_1_1; - ______split_137_7_1_2_1_1 = _____acc_6_1_2_1_1.i + 1; - _____acc_7_1_2_1_1.i = ______split_137_7_1_2_1_1; - ______split_137_8_1_2_1_1 = _____acc_7_1_2_1_1.i + 1; - _____acc_8_1_2_1_1.i = ______split_137_8_1_2_1_1; - ______split_137_9_1_2_1_1 = _____acc_8_1_2_1_1.i + 1; - _____acc_9_1_2_1_1.i = ______split_137_9_1_2_1_1; - ______split_137_10_1_2_1_1 = _____acc_9_1_2_1_1.i + 1; - _____acc_10_1_2_1_1.i = ______split_137_10_1_2_1_1; - ______split_137_11_1_2_1_1 = _____acc_10_1_2_1_1.i + 1; - _____acc_11_1_2_1_1.i = ______split_137_11_1_2_1_1; - ______split_137_12_1_2_1_1 = _____acc_11_1_2_1_1.i + 1; - _____acc_12_1_2_1_1.i = ______split_137_12_1_2_1_1; - ______split_137_13_1_2_1_1 = _____acc_12_1_2_1_1.i + 1; - _____acc_13_1_2_1_1.i = ______split_137_13_1_2_1_1; - ______split_137_14_1_2_1_1 = _____acc_13_1_2_1_1.i + 1; - _____acc_14_1_2_1_1.i = ______split_137_14_1_2_1_1; - ______split_137_15_1_2_1_1 = _____acc_14_1_2_1_1.i + 1; - _____acc_15_1_2_1_1.i = ______split_137_15_1_2_1_1; - ______split_137_16_1_2_1_1 = _____acc_15_1_2_1_1.i + 1; - _____acc_16_1_2_1_1.i = ______split_137_16_1_2_1_1; - ______split_137_17_1_2_1_1 = _____acc_16_1_2_1_1.i + 1; - _____acc_17_1_2_1_1.i = ______split_137_17_1_2_1_1; - ______split_137_18_1_2_1_1 = _____acc_17_1_2_1_1.i + 1; - _____acc_18_1_2_1_1.i = ______split_137_18_1_2_1_1; - ______split_137_19_1_2_1_1 = _____acc_18_1_2_1_1.i + 1; - _____acc_19_1_2_1_1.i = ______split_137_19_1_2_1_1; - ______split_137_20_1_2_1_1 = _____acc_19_1_2_1_1.i + 1; - _____acc_20_1_2_1_1.i = ______split_137_20_1_2_1_1; - ______split_137_21_1_2_1_1 = _____acc_20_1_2_1_1.i + 1; - _____acc_21_1_2_1_1.i = ______split_137_21_1_2_1_1; - ______split_137_22_1_2_1_1 = _____acc_21_1_2_1_1.i + 1; - _____acc_22_1_2_1_1.i = ______split_137_22_1_2_1_1; - ______split_137_23_1_2_1_1 = _____acc_22_1_2_1_1.i + 1; - _____acc_23_1_2_1_1.i = ______split_137_23_1_2_1_1; - ______split_137_24_1_2_1_1 = _____acc_23_1_2_1_1.i + 1; - _____acc_24_1_2_1_1.i = ______split_137_24_1_2_1_1; - ______split_137_25_1_2_1_1 = _____acc_24_1_2_1_1.i + 1; - _____acc_25_1_2_1_1.i = ______split_137_25_1_2_1_1; - ______split_137_26_1_2_1_1 = _____acc_25_1_2_1_1.i + 1; - _____acc_26_1_2_1_1.i = ______split_137_26_1_2_1_1; - ______split_137_27_1_2_1_1 = _____acc_26_1_2_1_1.i + 1; - _____acc_27_1_2_1_1.i = ______split_137_27_1_2_1_1; - ______split_137_28_1_2_1_1 = _____acc_27_1_2_1_1.i + 1; - _____acc_28_1_2_1_1.i = ______split_137_28_1_2_1_1; - ______split_137_29_1_2_1_1 = _____acc_28_1_2_1_1.i + 1; - _____acc_29_1_2_1_1.i = ______split_137_29_1_2_1_1; - ______split_137_30_1_2_1_1 = _____acc_29_1_2_1_1.i + 1; - _____acc_30_1_2_1_1.i = ______split_137_30_1_2_1_1; - ______split_137_31_1_2_1_1 = _____acc_30_1_2_1_1.i + 1; - _____acc_31_1_2_1_1.i = ______split_137_31_1_2_1_1; - ______split_137_32_1_2_1_1 = _____acc_31_1_2_1_1.i + 1; - _____acc_32_1_2_1_1.i = ______split_137_32_1_2_1_1; - ______split_137_33_1_2_1_1 = _____acc_32_1_2_1_1.i + 1; - _____acc_33_1_2_1_1.i = ______split_137_33_1_2_1_1; - ______split_137_34_1_2_1_1 = _____acc_33_1_2_1_1.i + 1; - _____acc_34_1_2_1_1.i = ______split_137_34_1_2_1_1; - ______split_137_35_1_2_1_1 = _____acc_34_1_2_1_1.i + 1; - _____acc_35_1_2_1_1.i = ______split_137_35_1_2_1_1; - ______split_137_36_1_2_1_1 = _____acc_35_1_2_1_1.i + 1; - _____acc_36_1_2_1_1.i = ______split_137_36_1_2_1_1; - ______split_137_37_1_2_1_1 = _____acc_36_1_2_1_1.i + 1; - _____acc_37_1_2_1_1.i = ______split_137_37_1_2_1_1; - ______split_137_38_1_2_1_1 = _____acc_37_1_2_1_1.i + 1; - _____acc_38_1_2_1_1.i = ______split_137_38_1_2_1_1; - ______split_137_39_1_2_1_1 = _____acc_38_1_2_1_1.i + 1; - _____acc_39_1_2_1_1.i = ______split_137_39_1_2_1_1; - ______split_137_40_1_2_1_1 = _____acc_39_1_2_1_1.i + 1; - _____acc_40_1_2_1_1.i = ______split_137_40_1_2_1_1; - ______split_137_41_1_2_1_1 = _____acc_40_1_2_1_1.i + 1; - _____acc_41_1_2_1_1.i = ______split_137_41_1_2_1_1; - ______split_137_42_1_2_1_1 = _____acc_41_1_2_1_1.i + 1; - _____acc_42_1_2_1_1.i = ______split_137_42_1_2_1_1; - ______split_137_43_1_2_1_1 = _____acc_42_1_2_1_1.i + 1; - _____acc_43_1_2_1_1.i = ______split_137_43_1_2_1_1; - ______split_137_44_1_2_1_1 = _____acc_43_1_2_1_1.i + 1; - _____acc_44_1_2_1_1.i = ______split_137_44_1_2_1_1; - ______split_137_45_1_2_1_1 = _____acc_44_1_2_1_1.i + 1; - _____acc_45_1_2_1_1.i = ______split_137_45_1_2_1_1; - ______split_137_46_1_2_1_1 = _____acc_45_1_2_1_1.i + 1; - _____acc_46_1_2_1_1.i = ______split_137_46_1_2_1_1; - ______split_137_47_1_2_1_1 = _____acc_46_1_2_1_1.i + 1; - _____acc_47_1_2_1_1.i = ______split_137_47_1_2_1_1; - ______split_137_48_1_2_1_1 = _____acc_47_1_2_1_1.i + 1; - _____acc_48_1_2_1_1.i = ______split_137_48_1_2_1_1; - ______split_137_49_1_2_1_1 = _____acc_48_1_2_1_1.i + 1; - _____acc_49_1_2_1_1.i = ______split_137_49_1_2_1_1; - Lustre_pre_2_get(&___split_126_1_1,&ctx->Lustre_pre_2_ctx_tab[1]); - Lustre_arrow_2_step(0,___split_126_1_1,&___split_127_1_1,&ctx->Lustre_arrow_2_ctx_tab[1]); - __i_2_1 = ___split_127_1_1 + 1; - ___split_130_1_1 = __i_2_1 % 50; - ____split_3_2_1_1.j = ___split_130_1_1; - _____acc_1_1_2_1_1.j = ____split_3_2_1_1.j; - _____acc_2_1_2_1_1.j = _____acc_1_1_2_1_1.j; - _____acc_3_1_2_1_1.j = _____acc_2_1_2_1_1.j; - _____acc_4_1_2_1_1.j = _____acc_3_1_2_1_1.j; - _____acc_5_1_2_1_1.j = _____acc_4_1_2_1_1.j; - _____acc_6_1_2_1_1.j = _____acc_5_1_2_1_1.j; - _____acc_7_1_2_1_1.j = _____acc_6_1_2_1_1.j; - _____acc_8_1_2_1_1.j = _____acc_7_1_2_1_1.j; - _____acc_9_1_2_1_1.j = _____acc_8_1_2_1_1.j; - _____acc_10_1_2_1_1.j = _____acc_9_1_2_1_1.j; - _____acc_11_1_2_1_1.j = _____acc_10_1_2_1_1.j; - _____acc_12_1_2_1_1.j = _____acc_11_1_2_1_1.j; - _____acc_13_1_2_1_1.j = _____acc_12_1_2_1_1.j; - _____acc_14_1_2_1_1.j = _____acc_13_1_2_1_1.j; - _____acc_15_1_2_1_1.j = _____acc_14_1_2_1_1.j; - _____acc_16_1_2_1_1.j = _____acc_15_1_2_1_1.j; - _____acc_17_1_2_1_1.j = _____acc_16_1_2_1_1.j; - _____acc_18_1_2_1_1.j = _____acc_17_1_2_1_1.j; - _____acc_19_1_2_1_1.j = _____acc_18_1_2_1_1.j; - _____acc_20_1_2_1_1.j = _____acc_19_1_2_1_1.j; - _____acc_21_1_2_1_1.j = _____acc_20_1_2_1_1.j; - _____acc_22_1_2_1_1.j = _____acc_21_1_2_1_1.j; - _____acc_23_1_2_1_1.j = _____acc_22_1_2_1_1.j; - _____acc_24_1_2_1_1.j = _____acc_23_1_2_1_1.j; - _____acc_25_1_2_1_1.j = _____acc_24_1_2_1_1.j; - _____acc_26_1_2_1_1.j = _____acc_25_1_2_1_1.j; - _____acc_27_1_2_1_1.j = _____acc_26_1_2_1_1.j; - _____acc_28_1_2_1_1.j = _____acc_27_1_2_1_1.j; - _____acc_29_1_2_1_1.j = _____acc_28_1_2_1_1.j; - _____acc_30_1_2_1_1.j = _____acc_29_1_2_1_1.j; - _____acc_31_1_2_1_1.j = _____acc_30_1_2_1_1.j; - _____acc_32_1_2_1_1.j = _____acc_31_1_2_1_1.j; - _____acc_33_1_2_1_1.j = _____acc_32_1_2_1_1.j; - _____acc_34_1_2_1_1.j = _____acc_33_1_2_1_1.j; - _____acc_35_1_2_1_1.j = _____acc_34_1_2_1_1.j; - _____acc_36_1_2_1_1.j = _____acc_35_1_2_1_1.j; - _____acc_37_1_2_1_1.j = _____acc_36_1_2_1_1.j; - _____acc_38_1_2_1_1.j = _____acc_37_1_2_1_1.j; - _____acc_39_1_2_1_1.j = _____acc_38_1_2_1_1.j; - _____acc_40_1_2_1_1.j = _____acc_39_1_2_1_1.j; - _____acc_41_1_2_1_1.j = _____acc_40_1_2_1_1.j; - _____acc_42_1_2_1_1.j = _____acc_41_1_2_1_1.j; - _____acc_43_1_2_1_1.j = _____acc_42_1_2_1_1.j; - _____acc_44_1_2_1_1.j = _____acc_43_1_2_1_1.j; - _____acc_45_1_2_1_1.j = _____acc_44_1_2_1_1.j; - _____acc_46_1_2_1_1.j = _____acc_45_1_2_1_1.j; - _____acc_47_1_2_1_1.j = _____acc_46_1_2_1_1.j; - _____acc_48_1_2_1_1.j = _____acc_47_1_2_1_1.j; - _____acc_49_1_2_1_1.j = _____acc_48_1_2_1_1.j; - ______split_136_50_1_2_1_1 = _____acc_49_1_2_1_1.i == _____acc_49_1_2_1_1.j; - Lustre_pre_3_get(___split_129_1_1,&ctx->Lustre_pre_3_ctx_tab[0]); - Lustre_hat_step(0.0,___split_128_1_1); - Lustre_arrow_3_step(___split_128_1_1,___split_129_1_1,__pre_a_2_1,&ctx->Lustre_arrow_3_ctx_tab[0]); - _____cell_50_1_2_1_1 = __pre_a_2_1[49]; - if (______split_136_50_1_2_1_1 == _true) { - _____ncell_50_1_2_1_1 = _____acc_49_1_2_1_1.v; - } else { - _____ncell_50_1_2_1_1 = _____cell_50_1_2_1_1; - } - __a_3_1[49] = _____ncell_50_1_2_1_1; - ______split_136_49_1_2_1_1 = _____acc_48_1_2_1_1.i == _____acc_48_1_2_1_1.j; - _____cell_49_1_2_1_1 = __pre_a_2_1[48]; - if (______split_136_49_1_2_1_1 == _true) { - _____ncell_49_1_2_1_1 = _____acc_48_1_2_1_1.v; - } else { - _____ncell_49_1_2_1_1 = _____cell_49_1_2_1_1; - } - __a_3_1[48] = _____ncell_49_1_2_1_1; - ______split_136_48_1_2_1_1 = _____acc_47_1_2_1_1.i == _____acc_47_1_2_1_1.j; - _____cell_48_1_2_1_1 = __pre_a_2_1[47]; - if (______split_136_48_1_2_1_1 == _true) { - _____ncell_48_1_2_1_1 = _____acc_47_1_2_1_1.v; - } else { - _____ncell_48_1_2_1_1 = _____cell_48_1_2_1_1; - } - __a_3_1[47] = _____ncell_48_1_2_1_1; - ______split_136_47_1_2_1_1 = _____acc_46_1_2_1_1.i == _____acc_46_1_2_1_1.j; - _____cell_47_1_2_1_1 = __pre_a_2_1[46]; - if (______split_136_47_1_2_1_1 == _true) { - _____ncell_47_1_2_1_1 = _____acc_46_1_2_1_1.v; - } else { - _____ncell_47_1_2_1_1 = _____cell_47_1_2_1_1; - } - __a_3_1[46] = _____ncell_47_1_2_1_1; - ______split_136_46_1_2_1_1 = _____acc_45_1_2_1_1.i == _____acc_45_1_2_1_1.j; - _____cell_46_1_2_1_1 = __pre_a_2_1[45]; - if (______split_136_46_1_2_1_1 == _true) { - _____ncell_46_1_2_1_1 = _____acc_45_1_2_1_1.v; - } else { - _____ncell_46_1_2_1_1 = _____cell_46_1_2_1_1; - } - __a_3_1[45] = _____ncell_46_1_2_1_1; - ______split_136_45_1_2_1_1 = _____acc_44_1_2_1_1.i == _____acc_44_1_2_1_1.j; - _____cell_45_1_2_1_1 = __pre_a_2_1[44]; - if (______split_136_45_1_2_1_1 == _true) { - _____ncell_45_1_2_1_1 = _____acc_44_1_2_1_1.v; - } else { - _____ncell_45_1_2_1_1 = _____cell_45_1_2_1_1; - } - __a_3_1[44] = _____ncell_45_1_2_1_1; - ______split_136_44_1_2_1_1 = _____acc_43_1_2_1_1.i == _____acc_43_1_2_1_1.j; - _____cell_44_1_2_1_1 = __pre_a_2_1[43]; - if (______split_136_44_1_2_1_1 == _true) { - _____ncell_44_1_2_1_1 = _____acc_43_1_2_1_1.v; - } else { - _____ncell_44_1_2_1_1 = _____cell_44_1_2_1_1; - } - __a_3_1[43] = _____ncell_44_1_2_1_1; - ______split_136_43_1_2_1_1 = _____acc_42_1_2_1_1.i == _____acc_42_1_2_1_1.j; - _____cell_43_1_2_1_1 = __pre_a_2_1[42]; - if (______split_136_43_1_2_1_1 == _true) { - _____ncell_43_1_2_1_1 = _____acc_42_1_2_1_1.v; - } else { - _____ncell_43_1_2_1_1 = _____cell_43_1_2_1_1; - } - __a_3_1[42] = _____ncell_43_1_2_1_1; - ______split_136_42_1_2_1_1 = _____acc_41_1_2_1_1.i == _____acc_41_1_2_1_1.j; - _____cell_42_1_2_1_1 = __pre_a_2_1[41]; - if (______split_136_42_1_2_1_1 == _true) { - _____ncell_42_1_2_1_1 = _____acc_41_1_2_1_1.v; - } else { - _____ncell_42_1_2_1_1 = _____cell_42_1_2_1_1; - } - __a_3_1[41] = _____ncell_42_1_2_1_1; - ______split_136_41_1_2_1_1 = _____acc_40_1_2_1_1.i == _____acc_40_1_2_1_1.j; - _____cell_41_1_2_1_1 = __pre_a_2_1[40]; - if (______split_136_41_1_2_1_1 == _true) { - _____ncell_41_1_2_1_1 = _____acc_40_1_2_1_1.v; - } else { - _____ncell_41_1_2_1_1 = _____cell_41_1_2_1_1; - } - __a_3_1[40] = _____ncell_41_1_2_1_1; - ______split_136_40_1_2_1_1 = _____acc_39_1_2_1_1.i == _____acc_39_1_2_1_1.j; - _____cell_40_1_2_1_1 = __pre_a_2_1[39]; - if (______split_136_40_1_2_1_1 == _true) { - _____ncell_40_1_2_1_1 = _____acc_39_1_2_1_1.v; - } else { - _____ncell_40_1_2_1_1 = _____cell_40_1_2_1_1; - } - __a_3_1[39] = _____ncell_40_1_2_1_1; - ______split_136_39_1_2_1_1 = _____acc_38_1_2_1_1.i == _____acc_38_1_2_1_1.j; - _____cell_39_1_2_1_1 = __pre_a_2_1[38]; - if (______split_136_39_1_2_1_1 == _true) { - _____ncell_39_1_2_1_1 = _____acc_38_1_2_1_1.v; - } else { - _____ncell_39_1_2_1_1 = _____cell_39_1_2_1_1; - } - __a_3_1[38] = _____ncell_39_1_2_1_1; - ______split_136_38_1_2_1_1 = _____acc_37_1_2_1_1.i == _____acc_37_1_2_1_1.j; - _____cell_38_1_2_1_1 = __pre_a_2_1[37]; - if (______split_136_38_1_2_1_1 == _true) { - _____ncell_38_1_2_1_1 = _____acc_37_1_2_1_1.v; - } else { - _____ncell_38_1_2_1_1 = _____cell_38_1_2_1_1; - } - __a_3_1[37] = _____ncell_38_1_2_1_1; - ______split_136_37_1_2_1_1 = _____acc_36_1_2_1_1.i == _____acc_36_1_2_1_1.j; - _____cell_37_1_2_1_1 = __pre_a_2_1[36]; - if (______split_136_37_1_2_1_1 == _true) { - _____ncell_37_1_2_1_1 = _____acc_36_1_2_1_1.v; - } else { - _____ncell_37_1_2_1_1 = _____cell_37_1_2_1_1; - } - __a_3_1[36] = _____ncell_37_1_2_1_1; - ______split_136_36_1_2_1_1 = _____acc_35_1_2_1_1.i == _____acc_35_1_2_1_1.j; - _____cell_36_1_2_1_1 = __pre_a_2_1[35]; - if (______split_136_36_1_2_1_1 == _true) { - _____ncell_36_1_2_1_1 = _____acc_35_1_2_1_1.v; - } else { - _____ncell_36_1_2_1_1 = _____cell_36_1_2_1_1; - } - __a_3_1[35] = _____ncell_36_1_2_1_1; - ______split_136_35_1_2_1_1 = _____acc_34_1_2_1_1.i == _____acc_34_1_2_1_1.j; - _____cell_35_1_2_1_1 = __pre_a_2_1[34]; - if (______split_136_35_1_2_1_1 == _true) { - _____ncell_35_1_2_1_1 = _____acc_34_1_2_1_1.v; - } else { - _____ncell_35_1_2_1_1 = _____cell_35_1_2_1_1; - } - __a_3_1[34] = _____ncell_35_1_2_1_1; - ______split_136_34_1_2_1_1 = _____acc_33_1_2_1_1.i == _____acc_33_1_2_1_1.j; - _____cell_34_1_2_1_1 = __pre_a_2_1[33]; - if (______split_136_34_1_2_1_1 == _true) { - _____ncell_34_1_2_1_1 = _____acc_33_1_2_1_1.v; - } else { - _____ncell_34_1_2_1_1 = _____cell_34_1_2_1_1; - } - __a_3_1[33] = _____ncell_34_1_2_1_1; - ______split_136_33_1_2_1_1 = _____acc_32_1_2_1_1.i == _____acc_32_1_2_1_1.j; - _____cell_33_1_2_1_1 = __pre_a_2_1[32]; - if (______split_136_33_1_2_1_1 == _true) { - _____ncell_33_1_2_1_1 = _____acc_32_1_2_1_1.v; - } else { - _____ncell_33_1_2_1_1 = _____cell_33_1_2_1_1; - } - __a_3_1[32] = _____ncell_33_1_2_1_1; - ______split_136_32_1_2_1_1 = _____acc_31_1_2_1_1.i == _____acc_31_1_2_1_1.j; - _____cell_32_1_2_1_1 = __pre_a_2_1[31]; - if (______split_136_32_1_2_1_1 == _true) { - _____ncell_32_1_2_1_1 = _____acc_31_1_2_1_1.v; - } else { - _____ncell_32_1_2_1_1 = _____cell_32_1_2_1_1; - } - __a_3_1[31] = _____ncell_32_1_2_1_1; - ______split_136_31_1_2_1_1 = _____acc_30_1_2_1_1.i == _____acc_30_1_2_1_1.j; - _____cell_31_1_2_1_1 = __pre_a_2_1[30]; - if (______split_136_31_1_2_1_1 == _true) { - _____ncell_31_1_2_1_1 = _____acc_30_1_2_1_1.v; - } else { - _____ncell_31_1_2_1_1 = _____cell_31_1_2_1_1; - } - __a_3_1[30] = _____ncell_31_1_2_1_1; - ______split_136_30_1_2_1_1 = _____acc_29_1_2_1_1.i == _____acc_29_1_2_1_1.j; - _____cell_30_1_2_1_1 = __pre_a_2_1[29]; - if (______split_136_30_1_2_1_1 == _true) { - _____ncell_30_1_2_1_1 = _____acc_29_1_2_1_1.v; - } else { - _____ncell_30_1_2_1_1 = _____cell_30_1_2_1_1; - } - __a_3_1[29] = _____ncell_30_1_2_1_1; - ______split_136_29_1_2_1_1 = _____acc_28_1_2_1_1.i == _____acc_28_1_2_1_1.j; - _____cell_29_1_2_1_1 = __pre_a_2_1[28]; - if (______split_136_29_1_2_1_1 == _true) { - _____ncell_29_1_2_1_1 = _____acc_28_1_2_1_1.v; - } else { - _____ncell_29_1_2_1_1 = _____cell_29_1_2_1_1; - } - __a_3_1[28] = _____ncell_29_1_2_1_1; - ______split_136_28_1_2_1_1 = _____acc_27_1_2_1_1.i == _____acc_27_1_2_1_1.j; - _____cell_28_1_2_1_1 = __pre_a_2_1[27]; - if (______split_136_28_1_2_1_1 == _true) { - _____ncell_28_1_2_1_1 = _____acc_27_1_2_1_1.v; - } else { - _____ncell_28_1_2_1_1 = _____cell_28_1_2_1_1; - } - __a_3_1[27] = _____ncell_28_1_2_1_1; - ______split_136_27_1_2_1_1 = _____acc_26_1_2_1_1.i == _____acc_26_1_2_1_1.j; - _____cell_27_1_2_1_1 = __pre_a_2_1[26]; - if (______split_136_27_1_2_1_1 == _true) { - _____ncell_27_1_2_1_1 = _____acc_26_1_2_1_1.v; - } else { - _____ncell_27_1_2_1_1 = _____cell_27_1_2_1_1; - } - __a_3_1[26] = _____ncell_27_1_2_1_1; - ______split_136_26_1_2_1_1 = _____acc_25_1_2_1_1.i == _____acc_25_1_2_1_1.j; - _____cell_26_1_2_1_1 = __pre_a_2_1[25]; - if (______split_136_26_1_2_1_1 == _true) { - _____ncell_26_1_2_1_1 = _____acc_25_1_2_1_1.v; - } else { - _____ncell_26_1_2_1_1 = _____cell_26_1_2_1_1; - } - __a_3_1[25] = _____ncell_26_1_2_1_1; - ______split_136_25_1_2_1_1 = _____acc_24_1_2_1_1.i == _____acc_24_1_2_1_1.j; - _____cell_25_1_2_1_1 = __pre_a_2_1[24]; - if (______split_136_25_1_2_1_1 == _true) { - _____ncell_25_1_2_1_1 = _____acc_24_1_2_1_1.v; - } else { - _____ncell_25_1_2_1_1 = _____cell_25_1_2_1_1; - } - __a_3_1[24] = _____ncell_25_1_2_1_1; - ______split_136_24_1_2_1_1 = _____acc_23_1_2_1_1.i == _____acc_23_1_2_1_1.j; - _____cell_24_1_2_1_1 = __pre_a_2_1[23]; - if (______split_136_24_1_2_1_1 == _true) { - _____ncell_24_1_2_1_1 = _____acc_23_1_2_1_1.v; - } else { - _____ncell_24_1_2_1_1 = _____cell_24_1_2_1_1; - } - __a_3_1[23] = _____ncell_24_1_2_1_1; - ______split_136_23_1_2_1_1 = _____acc_22_1_2_1_1.i == _____acc_22_1_2_1_1.j; - _____cell_23_1_2_1_1 = __pre_a_2_1[22]; - if (______split_136_23_1_2_1_1 == _true) { - _____ncell_23_1_2_1_1 = _____acc_22_1_2_1_1.v; - } else { - _____ncell_23_1_2_1_1 = _____cell_23_1_2_1_1; - } - __a_3_1[22] = _____ncell_23_1_2_1_1; - ______split_136_22_1_2_1_1 = _____acc_21_1_2_1_1.i == _____acc_21_1_2_1_1.j; - _____cell_22_1_2_1_1 = __pre_a_2_1[21]; - if (______split_136_22_1_2_1_1 == _true) { - _____ncell_22_1_2_1_1 = _____acc_21_1_2_1_1.v; - } else { - _____ncell_22_1_2_1_1 = _____cell_22_1_2_1_1; - } - __a_3_1[21] = _____ncell_22_1_2_1_1; - ______split_136_21_1_2_1_1 = _____acc_20_1_2_1_1.i == _____acc_20_1_2_1_1.j; - _____cell_21_1_2_1_1 = __pre_a_2_1[20]; - if (______split_136_21_1_2_1_1 == _true) { - _____ncell_21_1_2_1_1 = _____acc_20_1_2_1_1.v; - } else { - _____ncell_21_1_2_1_1 = _____cell_21_1_2_1_1; - } - __a_3_1[20] = _____ncell_21_1_2_1_1; - ______split_136_20_1_2_1_1 = _____acc_19_1_2_1_1.i == _____acc_19_1_2_1_1.j; - _____cell_20_1_2_1_1 = __pre_a_2_1[19]; - if (______split_136_20_1_2_1_1 == _true) { - _____ncell_20_1_2_1_1 = _____acc_19_1_2_1_1.v; - } else { - _____ncell_20_1_2_1_1 = _____cell_20_1_2_1_1; - } - __a_3_1[19] = _____ncell_20_1_2_1_1; - ______split_136_19_1_2_1_1 = _____acc_18_1_2_1_1.i == _____acc_18_1_2_1_1.j; - _____cell_19_1_2_1_1 = __pre_a_2_1[18]; - if (______split_136_19_1_2_1_1 == _true) { - _____ncell_19_1_2_1_1 = _____acc_18_1_2_1_1.v; - } else { - _____ncell_19_1_2_1_1 = _____cell_19_1_2_1_1; - } - __a_3_1[18] = _____ncell_19_1_2_1_1; - ______split_136_18_1_2_1_1 = _____acc_17_1_2_1_1.i == _____acc_17_1_2_1_1.j; - _____cell_18_1_2_1_1 = __pre_a_2_1[17]; - if (______split_136_18_1_2_1_1 == _true) { - _____ncell_18_1_2_1_1 = _____acc_17_1_2_1_1.v; - } else { - _____ncell_18_1_2_1_1 = _____cell_18_1_2_1_1; - } - __a_3_1[17] = _____ncell_18_1_2_1_1; - ______split_136_17_1_2_1_1 = _____acc_16_1_2_1_1.i == _____acc_16_1_2_1_1.j; - _____cell_17_1_2_1_1 = __pre_a_2_1[16]; - if (______split_136_17_1_2_1_1 == _true) { - _____ncell_17_1_2_1_1 = _____acc_16_1_2_1_1.v; - } else { - _____ncell_17_1_2_1_1 = _____cell_17_1_2_1_1; - } - __a_3_1[16] = _____ncell_17_1_2_1_1; - ______split_136_16_1_2_1_1 = _____acc_15_1_2_1_1.i == _____acc_15_1_2_1_1.j; - _____cell_16_1_2_1_1 = __pre_a_2_1[15]; - if (______split_136_16_1_2_1_1 == _true) { - _____ncell_16_1_2_1_1 = _____acc_15_1_2_1_1.v; - } else { - _____ncell_16_1_2_1_1 = _____cell_16_1_2_1_1; - } - __a_3_1[15] = _____ncell_16_1_2_1_1; - ______split_136_15_1_2_1_1 = _____acc_14_1_2_1_1.i == _____acc_14_1_2_1_1.j; - _____cell_15_1_2_1_1 = __pre_a_2_1[14]; - if (______split_136_15_1_2_1_1 == _true) { - _____ncell_15_1_2_1_1 = _____acc_14_1_2_1_1.v; - } else { - _____ncell_15_1_2_1_1 = _____cell_15_1_2_1_1; - } - __a_3_1[14] = _____ncell_15_1_2_1_1; - ______split_136_14_1_2_1_1 = _____acc_13_1_2_1_1.i == _____acc_13_1_2_1_1.j; - _____cell_14_1_2_1_1 = __pre_a_2_1[13]; - if (______split_136_14_1_2_1_1 == _true) { - _____ncell_14_1_2_1_1 = _____acc_13_1_2_1_1.v; - } else { - _____ncell_14_1_2_1_1 = _____cell_14_1_2_1_1; - } - __a_3_1[13] = _____ncell_14_1_2_1_1; - ______split_136_13_1_2_1_1 = _____acc_12_1_2_1_1.i == _____acc_12_1_2_1_1.j; - _____cell_13_1_2_1_1 = __pre_a_2_1[12]; - if (______split_136_13_1_2_1_1 == _true) { - _____ncell_13_1_2_1_1 = _____acc_12_1_2_1_1.v; - } else { - _____ncell_13_1_2_1_1 = _____cell_13_1_2_1_1; - } - __a_3_1[12] = _____ncell_13_1_2_1_1; - ______split_136_12_1_2_1_1 = _____acc_11_1_2_1_1.i == _____acc_11_1_2_1_1.j; - _____cell_12_1_2_1_1 = __pre_a_2_1[11]; - if (______split_136_12_1_2_1_1 == _true) { - _____ncell_12_1_2_1_1 = _____acc_11_1_2_1_1.v; - } else { - _____ncell_12_1_2_1_1 = _____cell_12_1_2_1_1; - } - __a_3_1[11] = _____ncell_12_1_2_1_1; - ______split_136_11_1_2_1_1 = _____acc_10_1_2_1_1.i == _____acc_10_1_2_1_1.j; - _____cell_11_1_2_1_1 = __pre_a_2_1[10]; - if (______split_136_11_1_2_1_1 == _true) { - _____ncell_11_1_2_1_1 = _____acc_10_1_2_1_1.v; - } else { - _____ncell_11_1_2_1_1 = _____cell_11_1_2_1_1; - } - __a_3_1[10] = _____ncell_11_1_2_1_1; - ______split_136_10_1_2_1_1 = _____acc_9_1_2_1_1.i == _____acc_9_1_2_1_1.j; - _____cell_10_1_2_1_1 = __pre_a_2_1[9]; - if (______split_136_10_1_2_1_1 == _true) { - _____ncell_10_1_2_1_1 = _____acc_9_1_2_1_1.v; - } else { - _____ncell_10_1_2_1_1 = _____cell_10_1_2_1_1; - } - __a_3_1[9] = _____ncell_10_1_2_1_1; - ______split_136_9_1_2_1_1 = _____acc_8_1_2_1_1.i == _____acc_8_1_2_1_1.j; - _____cell_9_1_2_1_1 = __pre_a_2_1[8]; - if (______split_136_9_1_2_1_1 == _true) { - _____ncell_9_1_2_1_1 = _____acc_8_1_2_1_1.v; - } else { - _____ncell_9_1_2_1_1 = _____cell_9_1_2_1_1; - } - __a_3_1[8] = _____ncell_9_1_2_1_1; - ______split_136_8_1_2_1_1 = _____acc_7_1_2_1_1.i == _____acc_7_1_2_1_1.j; - _____cell_8_1_2_1_1 = __pre_a_2_1[7]; - if (______split_136_8_1_2_1_1 == _true) { - _____ncell_8_1_2_1_1 = _____acc_7_1_2_1_1.v; - } else { - _____ncell_8_1_2_1_1 = _____cell_8_1_2_1_1; - } - __a_3_1[7] = _____ncell_8_1_2_1_1; - ______split_136_7_1_2_1_1 = _____acc_6_1_2_1_1.i == _____acc_6_1_2_1_1.j; - _____cell_7_1_2_1_1 = __pre_a_2_1[6]; - if (______split_136_7_1_2_1_1 == _true) { - _____ncell_7_1_2_1_1 = _____acc_6_1_2_1_1.v; - } else { - _____ncell_7_1_2_1_1 = _____cell_7_1_2_1_1; - } - __a_3_1[6] = _____ncell_7_1_2_1_1; - ______split_136_6_1_2_1_1 = _____acc_5_1_2_1_1.i == _____acc_5_1_2_1_1.j; - _____cell_6_1_2_1_1 = __pre_a_2_1[5]; - if (______split_136_6_1_2_1_1 == _true) { - _____ncell_6_1_2_1_1 = _____acc_5_1_2_1_1.v; - } else { - _____ncell_6_1_2_1_1 = _____cell_6_1_2_1_1; - } - __a_3_1[5] = _____ncell_6_1_2_1_1; - ______split_136_5_1_2_1_1 = _____acc_4_1_2_1_1.i == _____acc_4_1_2_1_1.j; - _____cell_5_1_2_1_1 = __pre_a_2_1[4]; - if (______split_136_5_1_2_1_1 == _true) { - _____ncell_5_1_2_1_1 = _____acc_4_1_2_1_1.v; - } else { - _____ncell_5_1_2_1_1 = _____cell_5_1_2_1_1; - } - __a_3_1[4] = _____ncell_5_1_2_1_1; - ______split_136_4_1_2_1_1 = _____acc_3_1_2_1_1.i == _____acc_3_1_2_1_1.j; - _____cell_4_1_2_1_1 = __pre_a_2_1[3]; - if (______split_136_4_1_2_1_1 == _true) { - _____ncell_4_1_2_1_1 = _____acc_3_1_2_1_1.v; - } else { - _____ncell_4_1_2_1_1 = _____cell_4_1_2_1_1; - } - __a_3_1[3] = _____ncell_4_1_2_1_1; - ______split_136_3_1_2_1_1 = _____acc_2_1_2_1_1.i == _____acc_2_1_2_1_1.j; - _____cell_3_1_2_1_1 = __pre_a_2_1[2]; - if (______split_136_3_1_2_1_1 == _true) { - _____ncell_3_1_2_1_1 = _____acc_2_1_2_1_1.v; - } else { - _____ncell_3_1_2_1_1 = _____cell_3_1_2_1_1; - } - __a_3_1[2] = _____ncell_3_1_2_1_1; - ______split_136_2_1_2_1_1 = _____acc_1_1_2_1_1.i == _____acc_1_1_2_1_1.j; - _____cell_2_1_2_1_1 = __pre_a_2_1[1]; - if (______split_136_2_1_2_1_1 == _true) { - _____ncell_2_1_2_1_1 = _____acc_1_1_2_1_1.v; - } else { - _____ncell_2_1_2_1_1 = _____cell_2_1_2_1_1; - } - __a_3_1[1] = _____ncell_2_1_2_1_1; - ______split_136_1_1_2_1_1 = ____split_3_2_1_1.i == ____split_3_2_1_1.j; - _____cell_1_1_2_1_1 = __pre_a_2_1[0]; - if (______split_136_1_1_2_1_1 == _true) { - _____ncell_1_1_2_1_1 = ____split_3_2_1_1.v; - } else { - _____ncell_1_1_2_1_1 = _____cell_1_1_2_1_1; - } - __a_3_1[0] = _____ncell_1_1_2_1_1; - ___i1_2_1_1 = 0.0; - ____acc_50_2_1_1 = ___i1_2_1_1 + __a_3_1[0]; - ____acc_51_2_1_1 = ____acc_50_2_1_1 + __a_3_1[1]; - ____acc_52_2_1_1 = ____acc_51_2_1_1 + __a_3_1[2]; - ____acc_53_2_1_1 = ____acc_52_2_1_1 + __a_3_1[3]; - ____acc_54_2_1_1 = ____acc_53_2_1_1 + __a_3_1[4]; - ____acc_55_2_1_1 = ____acc_54_2_1_1 + __a_3_1[5]; - ____acc_56_2_1_1 = ____acc_55_2_1_1 + __a_3_1[6]; - ____acc_57_2_1_1 = ____acc_56_2_1_1 + __a_3_1[7]; - ____acc_58_2_1_1 = ____acc_57_2_1_1 + __a_3_1[8]; - ____acc_59_2_1_1 = ____acc_58_2_1_1 + __a_3_1[9]; - ____acc_60_2_1_1 = ____acc_59_2_1_1 + __a_3_1[10]; - ____acc_61_2_1_1 = ____acc_60_2_1_1 + __a_3_1[11]; - ____acc_62_2_1_1 = ____acc_61_2_1_1 + __a_3_1[12]; - ____acc_63_2_1_1 = ____acc_62_2_1_1 + __a_3_1[13]; - ____acc_64_2_1_1 = ____acc_63_2_1_1 + __a_3_1[14]; - ____acc_65_2_1_1 = ____acc_64_2_1_1 + __a_3_1[15]; - ____acc_66_2_1_1 = ____acc_65_2_1_1 + __a_3_1[16]; - ____acc_67_2_1_1 = ____acc_66_2_1_1 + __a_3_1[17]; - ____acc_68_2_1_1 = ____acc_67_2_1_1 + __a_3_1[18]; - ____acc_69_2_1_1 = ____acc_68_2_1_1 + __a_3_1[19]; - ____acc_70_2_1_1 = ____acc_69_2_1_1 + __a_3_1[20]; - ____acc_71_2_1_1 = ____acc_70_2_1_1 + __a_3_1[21]; - ____acc_72_2_1_1 = ____acc_71_2_1_1 + __a_3_1[22]; - ____acc_73_2_1_1 = ____acc_72_2_1_1 + __a_3_1[23]; - ____acc_74_2_1_1 = ____acc_73_2_1_1 + __a_3_1[24]; - ____acc_75_2_1_1 = ____acc_74_2_1_1 + __a_3_1[25]; - ____acc_76_2_1_1 = ____acc_75_2_1_1 + __a_3_1[26]; - ____acc_77_2_1_1 = ____acc_76_2_1_1 + __a_3_1[27]; - ____acc_78_2_1_1 = ____acc_77_2_1_1 + __a_3_1[28]; - ____acc_79_2_1_1 = ____acc_78_2_1_1 + __a_3_1[29]; - ____acc_80_2_1_1 = ____acc_79_2_1_1 + __a_3_1[30]; - ____acc_81_2_1_1 = ____acc_80_2_1_1 + __a_3_1[31]; - ____acc_82_2_1_1 = ____acc_81_2_1_1 + __a_3_1[32]; - ____acc_83_2_1_1 = ____acc_82_2_1_1 + __a_3_1[33]; - ____acc_84_2_1_1 = ____acc_83_2_1_1 + __a_3_1[34]; - ____acc_85_2_1_1 = ____acc_84_2_1_1 + __a_3_1[35]; - ____acc_86_2_1_1 = ____acc_85_2_1_1 + __a_3_1[36]; - ____acc_87_2_1_1 = ____acc_86_2_1_1 + __a_3_1[37]; - ____acc_88_2_1_1 = ____acc_87_2_1_1 + __a_3_1[38]; - ____acc_89_2_1_1 = ____acc_88_2_1_1 + __a_3_1[39]; - ____acc_90_2_1_1 = ____acc_89_2_1_1 + __a_3_1[40]; - ____acc_91_2_1_1 = ____acc_90_2_1_1 + __a_3_1[41]; - ____acc_92_2_1_1 = ____acc_91_2_1_1 + __a_3_1[42]; - ____acc_93_2_1_1 = ____acc_92_2_1_1 + __a_3_1[43]; - ____acc_94_2_1_1 = ____acc_93_2_1_1 + __a_3_1[44]; - ____acc_95_2_1_1 = ____acc_94_2_1_1 + __a_3_1[45]; - ____acc_96_2_1_1 = ____acc_95_2_1_1 + __a_3_1[46]; - ____acc_97_2_1_1 = ____acc_96_2_1_1 + __a_3_1[47]; - ____acc_98_2_1_1 = ____acc_97_2_1_1 + __a_3_1[48]; - __split_82_1 = ____acc_98_2_1_1 + __a_3_1[49]; - _d_1 = __split_82_1; - break; -} - Lustre_pre_set(_d_1,&ctx->Lustre_pre_ctx_tab[1]); - Lustre_pre_get(&__split_79_1,&ctx->Lustre_pre_ctx_tab[2]); - __x_13_1 = 0.1; - Lustre_arrow_step(0.0,__split_79_1,&_pt_1,&ctx->Lustre_arrow_ctx_tab[2]); - switch (_TickOrRot_1){ - case _false: - __split_85_1 = _pt_1; - __split_86_1 = __split_85_1; - break; - case _true: - __split_83_1 = _tx_1; - ____split_3_1_1_1.v = __split_83_1; - _____acc_1_1_1_1_1.v = ____split_3_1_1_1.v; - _____acc_2_1_1_1_1.v = _____acc_1_1_1_1_1.v; - _____acc_3_1_1_1_1.v = _____acc_2_1_1_1_1.v; - _____acc_4_1_1_1_1.v = _____acc_3_1_1_1_1.v; - _____acc_5_1_1_1_1.v = _____acc_4_1_1_1_1.v; - _____acc_6_1_1_1_1.v = _____acc_5_1_1_1_1.v; - _____acc_7_1_1_1_1.v = _____acc_6_1_1_1_1.v; - _____acc_8_1_1_1_1.v = _____acc_7_1_1_1_1.v; - _____acc_9_1_1_1_1.v = _____acc_8_1_1_1_1.v; - _____acc_10_1_1_1_1.v = _____acc_9_1_1_1_1.v; - _____acc_11_1_1_1_1.v = _____acc_10_1_1_1_1.v; - _____acc_12_1_1_1_1.v = _____acc_11_1_1_1_1.v; - _____acc_13_1_1_1_1.v = _____acc_12_1_1_1_1.v; - _____acc_14_1_1_1_1.v = _____acc_13_1_1_1_1.v; - _____acc_15_1_1_1_1.v = _____acc_14_1_1_1_1.v; - _____acc_16_1_1_1_1.v = _____acc_15_1_1_1_1.v; - _____acc_17_1_1_1_1.v = _____acc_16_1_1_1_1.v; - _____acc_18_1_1_1_1.v = _____acc_17_1_1_1_1.v; - _____acc_19_1_1_1_1.v = _____acc_18_1_1_1_1.v; - _____acc_20_1_1_1_1.v = _____acc_19_1_1_1_1.v; - _____acc_21_1_1_1_1.v = _____acc_20_1_1_1_1.v; - _____acc_22_1_1_1_1.v = _____acc_21_1_1_1_1.v; - _____acc_23_1_1_1_1.v = _____acc_22_1_1_1_1.v; - _____acc_24_1_1_1_1.v = _____acc_23_1_1_1_1.v; - _____acc_25_1_1_1_1.v = _____acc_24_1_1_1_1.v; - _____acc_26_1_1_1_1.v = _____acc_25_1_1_1_1.v; - _____acc_27_1_1_1_1.v = _____acc_26_1_1_1_1.v; - _____acc_28_1_1_1_1.v = _____acc_27_1_1_1_1.v; - _____acc_29_1_1_1_1.v = _____acc_28_1_1_1_1.v; - _____acc_30_1_1_1_1.v = _____acc_29_1_1_1_1.v; - _____acc_31_1_1_1_1.v = _____acc_30_1_1_1_1.v; - _____acc_32_1_1_1_1.v = _____acc_31_1_1_1_1.v; - _____acc_33_1_1_1_1.v = _____acc_32_1_1_1_1.v; - _____acc_34_1_1_1_1.v = _____acc_33_1_1_1_1.v; - _____acc_35_1_1_1_1.v = _____acc_34_1_1_1_1.v; - _____acc_36_1_1_1_1.v = _____acc_35_1_1_1_1.v; - _____acc_37_1_1_1_1.v = _____acc_36_1_1_1_1.v; - _____acc_38_1_1_1_1.v = _____acc_37_1_1_1_1.v; - _____acc_39_1_1_1_1.v = _____acc_38_1_1_1_1.v; - _____acc_40_1_1_1_1.v = _____acc_39_1_1_1_1.v; - _____acc_41_1_1_1_1.v = _____acc_40_1_1_1_1.v; - _____acc_42_1_1_1_1.v = _____acc_41_1_1_1_1.v; - _____acc_43_1_1_1_1.v = _____acc_42_1_1_1_1.v; - _____acc_44_1_1_1_1.v = _____acc_43_1_1_1_1.v; - _____acc_45_1_1_1_1.v = _____acc_44_1_1_1_1.v; - _____acc_46_1_1_1_1.v = _____acc_45_1_1_1_1.v; - _____acc_47_1_1_1_1.v = _____acc_46_1_1_1_1.v; - _____acc_48_1_1_1_1.v = _____acc_47_1_1_1_1.v; - _____acc_49_1_1_1_1.v = _____acc_48_1_1_1_1.v; - ____split_3_1_1_1.i = 0; - ______split_137_1_1_1_1_1 = ____split_3_1_1_1.i + 1; - _____acc_1_1_1_1_1.i = ______split_137_1_1_1_1_1; - ______split_137_2_1_1_1_1 = _____acc_1_1_1_1_1.i + 1; - _____acc_2_1_1_1_1.i = ______split_137_2_1_1_1_1; - ______split_137_3_1_1_1_1 = _____acc_2_1_1_1_1.i + 1; - _____acc_3_1_1_1_1.i = ______split_137_3_1_1_1_1; - ______split_137_4_1_1_1_1 = _____acc_3_1_1_1_1.i + 1; - _____acc_4_1_1_1_1.i = ______split_137_4_1_1_1_1; - ______split_137_5_1_1_1_1 = _____acc_4_1_1_1_1.i + 1; - _____acc_5_1_1_1_1.i = ______split_137_5_1_1_1_1; - ______split_137_6_1_1_1_1 = _____acc_5_1_1_1_1.i + 1; - _____acc_6_1_1_1_1.i = ______split_137_6_1_1_1_1; - ______split_137_7_1_1_1_1 = _____acc_6_1_1_1_1.i + 1; - _____acc_7_1_1_1_1.i = ______split_137_7_1_1_1_1; - ______split_137_8_1_1_1_1 = _____acc_7_1_1_1_1.i + 1; - _____acc_8_1_1_1_1.i = ______split_137_8_1_1_1_1; - ______split_137_9_1_1_1_1 = _____acc_8_1_1_1_1.i + 1; - _____acc_9_1_1_1_1.i = ______split_137_9_1_1_1_1; - ______split_137_10_1_1_1_1 = _____acc_9_1_1_1_1.i + 1; - _____acc_10_1_1_1_1.i = ______split_137_10_1_1_1_1; - ______split_137_11_1_1_1_1 = _____acc_10_1_1_1_1.i + 1; - _____acc_11_1_1_1_1.i = ______split_137_11_1_1_1_1; - ______split_137_12_1_1_1_1 = _____acc_11_1_1_1_1.i + 1; - _____acc_12_1_1_1_1.i = ______split_137_12_1_1_1_1; - ______split_137_13_1_1_1_1 = _____acc_12_1_1_1_1.i + 1; - _____acc_13_1_1_1_1.i = ______split_137_13_1_1_1_1; - ______split_137_14_1_1_1_1 = _____acc_13_1_1_1_1.i + 1; - _____acc_14_1_1_1_1.i = ______split_137_14_1_1_1_1; - ______split_137_15_1_1_1_1 = _____acc_14_1_1_1_1.i + 1; - _____acc_15_1_1_1_1.i = ______split_137_15_1_1_1_1; - ______split_137_16_1_1_1_1 = _____acc_15_1_1_1_1.i + 1; - _____acc_16_1_1_1_1.i = ______split_137_16_1_1_1_1; - ______split_137_17_1_1_1_1 = _____acc_16_1_1_1_1.i + 1; - _____acc_17_1_1_1_1.i = ______split_137_17_1_1_1_1; - ______split_137_18_1_1_1_1 = _____acc_17_1_1_1_1.i + 1; - _____acc_18_1_1_1_1.i = ______split_137_18_1_1_1_1; - ______split_137_19_1_1_1_1 = _____acc_18_1_1_1_1.i + 1; - _____acc_19_1_1_1_1.i = ______split_137_19_1_1_1_1; - ______split_137_20_1_1_1_1 = _____acc_19_1_1_1_1.i + 1; - _____acc_20_1_1_1_1.i = ______split_137_20_1_1_1_1; - ______split_137_21_1_1_1_1 = _____acc_20_1_1_1_1.i + 1; - _____acc_21_1_1_1_1.i = ______split_137_21_1_1_1_1; - ______split_137_22_1_1_1_1 = _____acc_21_1_1_1_1.i + 1; - _____acc_22_1_1_1_1.i = ______split_137_22_1_1_1_1; - ______split_137_23_1_1_1_1 = _____acc_22_1_1_1_1.i + 1; - _____acc_23_1_1_1_1.i = ______split_137_23_1_1_1_1; - ______split_137_24_1_1_1_1 = _____acc_23_1_1_1_1.i + 1; - _____acc_24_1_1_1_1.i = ______split_137_24_1_1_1_1; - ______split_137_25_1_1_1_1 = _____acc_24_1_1_1_1.i + 1; - _____acc_25_1_1_1_1.i = ______split_137_25_1_1_1_1; - ______split_137_26_1_1_1_1 = _____acc_25_1_1_1_1.i + 1; - _____acc_26_1_1_1_1.i = ______split_137_26_1_1_1_1; - ______split_137_27_1_1_1_1 = _____acc_26_1_1_1_1.i + 1; - _____acc_27_1_1_1_1.i = ______split_137_27_1_1_1_1; - ______split_137_28_1_1_1_1 = _____acc_27_1_1_1_1.i + 1; - _____acc_28_1_1_1_1.i = ______split_137_28_1_1_1_1; - ______split_137_29_1_1_1_1 = _____acc_28_1_1_1_1.i + 1; - _____acc_29_1_1_1_1.i = ______split_137_29_1_1_1_1; - ______split_137_30_1_1_1_1 = _____acc_29_1_1_1_1.i + 1; - _____acc_30_1_1_1_1.i = ______split_137_30_1_1_1_1; - ______split_137_31_1_1_1_1 = _____acc_30_1_1_1_1.i + 1; - _____acc_31_1_1_1_1.i = ______split_137_31_1_1_1_1; - ______split_137_32_1_1_1_1 = _____acc_31_1_1_1_1.i + 1; - _____acc_32_1_1_1_1.i = ______split_137_32_1_1_1_1; - ______split_137_33_1_1_1_1 = _____acc_32_1_1_1_1.i + 1; - _____acc_33_1_1_1_1.i = ______split_137_33_1_1_1_1; - ______split_137_34_1_1_1_1 = _____acc_33_1_1_1_1.i + 1; - _____acc_34_1_1_1_1.i = ______split_137_34_1_1_1_1; - ______split_137_35_1_1_1_1 = _____acc_34_1_1_1_1.i + 1; - _____acc_35_1_1_1_1.i = ______split_137_35_1_1_1_1; - ______split_137_36_1_1_1_1 = _____acc_35_1_1_1_1.i + 1; - _____acc_36_1_1_1_1.i = ______split_137_36_1_1_1_1; - ______split_137_37_1_1_1_1 = _____acc_36_1_1_1_1.i + 1; - _____acc_37_1_1_1_1.i = ______split_137_37_1_1_1_1; - ______split_137_38_1_1_1_1 = _____acc_37_1_1_1_1.i + 1; - _____acc_38_1_1_1_1.i = ______split_137_38_1_1_1_1; - ______split_137_39_1_1_1_1 = _____acc_38_1_1_1_1.i + 1; - _____acc_39_1_1_1_1.i = ______split_137_39_1_1_1_1; - ______split_137_40_1_1_1_1 = _____acc_39_1_1_1_1.i + 1; - _____acc_40_1_1_1_1.i = ______split_137_40_1_1_1_1; - ______split_137_41_1_1_1_1 = _____acc_40_1_1_1_1.i + 1; - _____acc_41_1_1_1_1.i = ______split_137_41_1_1_1_1; - ______split_137_42_1_1_1_1 = _____acc_41_1_1_1_1.i + 1; - _____acc_42_1_1_1_1.i = ______split_137_42_1_1_1_1; - ______split_137_43_1_1_1_1 = _____acc_42_1_1_1_1.i + 1; - _____acc_43_1_1_1_1.i = ______split_137_43_1_1_1_1; - ______split_137_44_1_1_1_1 = _____acc_43_1_1_1_1.i + 1; - _____acc_44_1_1_1_1.i = ______split_137_44_1_1_1_1; - ______split_137_45_1_1_1_1 = _____acc_44_1_1_1_1.i + 1; - _____acc_45_1_1_1_1.i = ______split_137_45_1_1_1_1; - ______split_137_46_1_1_1_1 = _____acc_45_1_1_1_1.i + 1; - _____acc_46_1_1_1_1.i = ______split_137_46_1_1_1_1; - ______split_137_47_1_1_1_1 = _____acc_46_1_1_1_1.i + 1; - _____acc_47_1_1_1_1.i = ______split_137_47_1_1_1_1; - ______split_137_48_1_1_1_1 = _____acc_47_1_1_1_1.i + 1; - _____acc_48_1_1_1_1.i = ______split_137_48_1_1_1_1; - ______split_137_49_1_1_1_1 = _____acc_48_1_1_1_1.i + 1; - _____acc_49_1_1_1_1.i = ______split_137_49_1_1_1_1; - Lustre_pre_2_get(&___split_131_1_1,&ctx->Lustre_pre_2_ctx_tab[2]); - Lustre_arrow_2_step(0,___split_131_1_1,&___split_132_1_1,&ctx->Lustre_arrow_2_ctx_tab[2]); - __i_1_1 = ___split_132_1_1 + 1; - ___split_135_1_1 = __i_1_1 % 50; - ____split_3_1_1_1.j = ___split_135_1_1; - _____acc_1_1_1_1_1.j = ____split_3_1_1_1.j; - _____acc_2_1_1_1_1.j = _____acc_1_1_1_1_1.j; - _____acc_3_1_1_1_1.j = _____acc_2_1_1_1_1.j; - _____acc_4_1_1_1_1.j = _____acc_3_1_1_1_1.j; - _____acc_5_1_1_1_1.j = _____acc_4_1_1_1_1.j; - _____acc_6_1_1_1_1.j = _____acc_5_1_1_1_1.j; - _____acc_7_1_1_1_1.j = _____acc_6_1_1_1_1.j; - _____acc_8_1_1_1_1.j = _____acc_7_1_1_1_1.j; - _____acc_9_1_1_1_1.j = _____acc_8_1_1_1_1.j; - _____acc_10_1_1_1_1.j = _____acc_9_1_1_1_1.j; - _____acc_11_1_1_1_1.j = _____acc_10_1_1_1_1.j; - _____acc_12_1_1_1_1.j = _____acc_11_1_1_1_1.j; - _____acc_13_1_1_1_1.j = _____acc_12_1_1_1_1.j; - _____acc_14_1_1_1_1.j = _____acc_13_1_1_1_1.j; - _____acc_15_1_1_1_1.j = _____acc_14_1_1_1_1.j; - _____acc_16_1_1_1_1.j = _____acc_15_1_1_1_1.j; - _____acc_17_1_1_1_1.j = _____acc_16_1_1_1_1.j; - _____acc_18_1_1_1_1.j = _____acc_17_1_1_1_1.j; - _____acc_19_1_1_1_1.j = _____acc_18_1_1_1_1.j; - _____acc_20_1_1_1_1.j = _____acc_19_1_1_1_1.j; - _____acc_21_1_1_1_1.j = _____acc_20_1_1_1_1.j; - _____acc_22_1_1_1_1.j = _____acc_21_1_1_1_1.j; - _____acc_23_1_1_1_1.j = _____acc_22_1_1_1_1.j; - _____acc_24_1_1_1_1.j = _____acc_23_1_1_1_1.j; - _____acc_25_1_1_1_1.j = _____acc_24_1_1_1_1.j; - _____acc_26_1_1_1_1.j = _____acc_25_1_1_1_1.j; - _____acc_27_1_1_1_1.j = _____acc_26_1_1_1_1.j; - _____acc_28_1_1_1_1.j = _____acc_27_1_1_1_1.j; - _____acc_29_1_1_1_1.j = _____acc_28_1_1_1_1.j; - _____acc_30_1_1_1_1.j = _____acc_29_1_1_1_1.j; - _____acc_31_1_1_1_1.j = _____acc_30_1_1_1_1.j; - _____acc_32_1_1_1_1.j = _____acc_31_1_1_1_1.j; - _____acc_33_1_1_1_1.j = _____acc_32_1_1_1_1.j; - _____acc_34_1_1_1_1.j = _____acc_33_1_1_1_1.j; - _____acc_35_1_1_1_1.j = _____acc_34_1_1_1_1.j; - _____acc_36_1_1_1_1.j = _____acc_35_1_1_1_1.j; - _____acc_37_1_1_1_1.j = _____acc_36_1_1_1_1.j; - _____acc_38_1_1_1_1.j = _____acc_37_1_1_1_1.j; - _____acc_39_1_1_1_1.j = _____acc_38_1_1_1_1.j; - _____acc_40_1_1_1_1.j = _____acc_39_1_1_1_1.j; - _____acc_41_1_1_1_1.j = _____acc_40_1_1_1_1.j; - _____acc_42_1_1_1_1.j = _____acc_41_1_1_1_1.j; - _____acc_43_1_1_1_1.j = _____acc_42_1_1_1_1.j; - _____acc_44_1_1_1_1.j = _____acc_43_1_1_1_1.j; - _____acc_45_1_1_1_1.j = _____acc_44_1_1_1_1.j; - _____acc_46_1_1_1_1.j = _____acc_45_1_1_1_1.j; - _____acc_47_1_1_1_1.j = _____acc_46_1_1_1_1.j; - _____acc_48_1_1_1_1.j = _____acc_47_1_1_1_1.j; - _____acc_49_1_1_1_1.j = _____acc_48_1_1_1_1.j; - ______split_136_50_1_1_1_1 = _____acc_49_1_1_1_1.i == _____acc_49_1_1_1_1.j; - Lustre_pre_3_get(___split_134_1_1,&ctx->Lustre_pre_3_ctx_tab[1]); - Lustre_hat_step(0.1,___split_133_1_1); - Lustre_arrow_3_step(___split_133_1_1,___split_134_1_1,__pre_a_1_1,&ctx->Lustre_arrow_3_ctx_tab[1]); - _____cell_50_1_1_1_1 = __pre_a_1_1[49]; - if (______split_136_50_1_1_1_1 == _true) { - _____ncell_50_1_1_1_1 = _____acc_49_1_1_1_1.v; - } else { - _____ncell_50_1_1_1_1 = _____cell_50_1_1_1_1; - } - __a_2_1[49] = _____ncell_50_1_1_1_1; - ______split_136_49_1_1_1_1 = _____acc_48_1_1_1_1.i == _____acc_48_1_1_1_1.j; - _____cell_49_1_1_1_1 = __pre_a_1_1[48]; - if (______split_136_49_1_1_1_1 == _true) { - _____ncell_49_1_1_1_1 = _____acc_48_1_1_1_1.v; - } else { - _____ncell_49_1_1_1_1 = _____cell_49_1_1_1_1; - } - __a_2_1[48] = _____ncell_49_1_1_1_1; - ______split_136_48_1_1_1_1 = _____acc_47_1_1_1_1.i == _____acc_47_1_1_1_1.j; - _____cell_48_1_1_1_1 = __pre_a_1_1[47]; - if (______split_136_48_1_1_1_1 == _true) { - _____ncell_48_1_1_1_1 = _____acc_47_1_1_1_1.v; - } else { - _____ncell_48_1_1_1_1 = _____cell_48_1_1_1_1; - } - __a_2_1[47] = _____ncell_48_1_1_1_1; - ______split_136_47_1_1_1_1 = _____acc_46_1_1_1_1.i == _____acc_46_1_1_1_1.j; - _____cell_47_1_1_1_1 = __pre_a_1_1[46]; - if (______split_136_47_1_1_1_1 == _true) { - _____ncell_47_1_1_1_1 = _____acc_46_1_1_1_1.v; - } else { - _____ncell_47_1_1_1_1 = _____cell_47_1_1_1_1; - } - __a_2_1[46] = _____ncell_47_1_1_1_1; - ______split_136_46_1_1_1_1 = _____acc_45_1_1_1_1.i == _____acc_45_1_1_1_1.j; - _____cell_46_1_1_1_1 = __pre_a_1_1[45]; - if (______split_136_46_1_1_1_1 == _true) { - _____ncell_46_1_1_1_1 = _____acc_45_1_1_1_1.v; - } else { - _____ncell_46_1_1_1_1 = _____cell_46_1_1_1_1; - } - __a_2_1[45] = _____ncell_46_1_1_1_1; - ______split_136_45_1_1_1_1 = _____acc_44_1_1_1_1.i == _____acc_44_1_1_1_1.j; - _____cell_45_1_1_1_1 = __pre_a_1_1[44]; - if (______split_136_45_1_1_1_1 == _true) { - _____ncell_45_1_1_1_1 = _____acc_44_1_1_1_1.v; - } else { - _____ncell_45_1_1_1_1 = _____cell_45_1_1_1_1; - } - __a_2_1[44] = _____ncell_45_1_1_1_1; - ______split_136_44_1_1_1_1 = _____acc_43_1_1_1_1.i == _____acc_43_1_1_1_1.j; - _____cell_44_1_1_1_1 = __pre_a_1_1[43]; - if (______split_136_44_1_1_1_1 == _true) { - _____ncell_44_1_1_1_1 = _____acc_43_1_1_1_1.v; - } else { - _____ncell_44_1_1_1_1 = _____cell_44_1_1_1_1; - } - __a_2_1[43] = _____ncell_44_1_1_1_1; - ______split_136_43_1_1_1_1 = _____acc_42_1_1_1_1.i == _____acc_42_1_1_1_1.j; - _____cell_43_1_1_1_1 = __pre_a_1_1[42]; - if (______split_136_43_1_1_1_1 == _true) { - _____ncell_43_1_1_1_1 = _____acc_42_1_1_1_1.v; - } else { - _____ncell_43_1_1_1_1 = _____cell_43_1_1_1_1; - } - __a_2_1[42] = _____ncell_43_1_1_1_1; - ______split_136_42_1_1_1_1 = _____acc_41_1_1_1_1.i == _____acc_41_1_1_1_1.j; - _____cell_42_1_1_1_1 = __pre_a_1_1[41]; - if (______split_136_42_1_1_1_1 == _true) { - _____ncell_42_1_1_1_1 = _____acc_41_1_1_1_1.v; - } else { - _____ncell_42_1_1_1_1 = _____cell_42_1_1_1_1; - } - __a_2_1[41] = _____ncell_42_1_1_1_1; - ______split_136_41_1_1_1_1 = _____acc_40_1_1_1_1.i == _____acc_40_1_1_1_1.j; - _____cell_41_1_1_1_1 = __pre_a_1_1[40]; - if (______split_136_41_1_1_1_1 == _true) { - _____ncell_41_1_1_1_1 = _____acc_40_1_1_1_1.v; - } else { - _____ncell_41_1_1_1_1 = _____cell_41_1_1_1_1; - } - __a_2_1[40] = _____ncell_41_1_1_1_1; - ______split_136_40_1_1_1_1 = _____acc_39_1_1_1_1.i == _____acc_39_1_1_1_1.j; - _____cell_40_1_1_1_1 = __pre_a_1_1[39]; - if (______split_136_40_1_1_1_1 == _true) { - _____ncell_40_1_1_1_1 = _____acc_39_1_1_1_1.v; - } else { - _____ncell_40_1_1_1_1 = _____cell_40_1_1_1_1; - } - __a_2_1[39] = _____ncell_40_1_1_1_1; - ______split_136_39_1_1_1_1 = _____acc_38_1_1_1_1.i == _____acc_38_1_1_1_1.j; - _____cell_39_1_1_1_1 = __pre_a_1_1[38]; - if (______split_136_39_1_1_1_1 == _true) { - _____ncell_39_1_1_1_1 = _____acc_38_1_1_1_1.v; - } else { - _____ncell_39_1_1_1_1 = _____cell_39_1_1_1_1; - } - __a_2_1[38] = _____ncell_39_1_1_1_1; - ______split_136_38_1_1_1_1 = _____acc_37_1_1_1_1.i == _____acc_37_1_1_1_1.j; - _____cell_38_1_1_1_1 = __pre_a_1_1[37]; - if (______split_136_38_1_1_1_1 == _true) { - _____ncell_38_1_1_1_1 = _____acc_37_1_1_1_1.v; - } else { - _____ncell_38_1_1_1_1 = _____cell_38_1_1_1_1; - } - __a_2_1[37] = _____ncell_38_1_1_1_1; - ______split_136_37_1_1_1_1 = _____acc_36_1_1_1_1.i == _____acc_36_1_1_1_1.j; - _____cell_37_1_1_1_1 = __pre_a_1_1[36]; - if (______split_136_37_1_1_1_1 == _true) { - _____ncell_37_1_1_1_1 = _____acc_36_1_1_1_1.v; - } else { - _____ncell_37_1_1_1_1 = _____cell_37_1_1_1_1; - } - __a_2_1[36] = _____ncell_37_1_1_1_1; - ______split_136_36_1_1_1_1 = _____acc_35_1_1_1_1.i == _____acc_35_1_1_1_1.j; - _____cell_36_1_1_1_1 = __pre_a_1_1[35]; - if (______split_136_36_1_1_1_1 == _true) { - _____ncell_36_1_1_1_1 = _____acc_35_1_1_1_1.v; - } else { - _____ncell_36_1_1_1_1 = _____cell_36_1_1_1_1; - } - __a_2_1[35] = _____ncell_36_1_1_1_1; - ______split_136_35_1_1_1_1 = _____acc_34_1_1_1_1.i == _____acc_34_1_1_1_1.j; - _____cell_35_1_1_1_1 = __pre_a_1_1[34]; - if (______split_136_35_1_1_1_1 == _true) { - _____ncell_35_1_1_1_1 = _____acc_34_1_1_1_1.v; - } else { - _____ncell_35_1_1_1_1 = _____cell_35_1_1_1_1; - } - __a_2_1[34] = _____ncell_35_1_1_1_1; - ______split_136_34_1_1_1_1 = _____acc_33_1_1_1_1.i == _____acc_33_1_1_1_1.j; - _____cell_34_1_1_1_1 = __pre_a_1_1[33]; - if (______split_136_34_1_1_1_1 == _true) { - _____ncell_34_1_1_1_1 = _____acc_33_1_1_1_1.v; - } else { - _____ncell_34_1_1_1_1 = _____cell_34_1_1_1_1; - } - __a_2_1[33] = _____ncell_34_1_1_1_1; - ______split_136_33_1_1_1_1 = _____acc_32_1_1_1_1.i == _____acc_32_1_1_1_1.j; - _____cell_33_1_1_1_1 = __pre_a_1_1[32]; - if (______split_136_33_1_1_1_1 == _true) { - _____ncell_33_1_1_1_1 = _____acc_32_1_1_1_1.v; - } else { - _____ncell_33_1_1_1_1 = _____cell_33_1_1_1_1; - } - __a_2_1[32] = _____ncell_33_1_1_1_1; - ______split_136_32_1_1_1_1 = _____acc_31_1_1_1_1.i == _____acc_31_1_1_1_1.j; - _____cell_32_1_1_1_1 = __pre_a_1_1[31]; - if (______split_136_32_1_1_1_1 == _true) { - _____ncell_32_1_1_1_1 = _____acc_31_1_1_1_1.v; - } else { - _____ncell_32_1_1_1_1 = _____cell_32_1_1_1_1; - } - __a_2_1[31] = _____ncell_32_1_1_1_1; - ______split_136_31_1_1_1_1 = _____acc_30_1_1_1_1.i == _____acc_30_1_1_1_1.j; - _____cell_31_1_1_1_1 = __pre_a_1_1[30]; - if (______split_136_31_1_1_1_1 == _true) { - _____ncell_31_1_1_1_1 = _____acc_30_1_1_1_1.v; - } else { - _____ncell_31_1_1_1_1 = _____cell_31_1_1_1_1; - } - __a_2_1[30] = _____ncell_31_1_1_1_1; - ______split_136_30_1_1_1_1 = _____acc_29_1_1_1_1.i == _____acc_29_1_1_1_1.j; - _____cell_30_1_1_1_1 = __pre_a_1_1[29]; - if (______split_136_30_1_1_1_1 == _true) { - _____ncell_30_1_1_1_1 = _____acc_29_1_1_1_1.v; - } else { - _____ncell_30_1_1_1_1 = _____cell_30_1_1_1_1; - } - __a_2_1[29] = _____ncell_30_1_1_1_1; - ______split_136_29_1_1_1_1 = _____acc_28_1_1_1_1.i == _____acc_28_1_1_1_1.j; - _____cell_29_1_1_1_1 = __pre_a_1_1[28]; - if (______split_136_29_1_1_1_1 == _true) { - _____ncell_29_1_1_1_1 = _____acc_28_1_1_1_1.v; - } else { - _____ncell_29_1_1_1_1 = _____cell_29_1_1_1_1; - } - __a_2_1[28] = _____ncell_29_1_1_1_1; - ______split_136_28_1_1_1_1 = _____acc_27_1_1_1_1.i == _____acc_27_1_1_1_1.j; - _____cell_28_1_1_1_1 = __pre_a_1_1[27]; - if (______split_136_28_1_1_1_1 == _true) { - _____ncell_28_1_1_1_1 = _____acc_27_1_1_1_1.v; - } else { - _____ncell_28_1_1_1_1 = _____cell_28_1_1_1_1; - } - __a_2_1[27] = _____ncell_28_1_1_1_1; - ______split_136_27_1_1_1_1 = _____acc_26_1_1_1_1.i == _____acc_26_1_1_1_1.j; - _____cell_27_1_1_1_1 = __pre_a_1_1[26]; - if (______split_136_27_1_1_1_1 == _true) { - _____ncell_27_1_1_1_1 = _____acc_26_1_1_1_1.v; - } else { - _____ncell_27_1_1_1_1 = _____cell_27_1_1_1_1; - } - __a_2_1[26] = _____ncell_27_1_1_1_1; - ______split_136_26_1_1_1_1 = _____acc_25_1_1_1_1.i == _____acc_25_1_1_1_1.j; - _____cell_26_1_1_1_1 = __pre_a_1_1[25]; - if (______split_136_26_1_1_1_1 == _true) { - _____ncell_26_1_1_1_1 = _____acc_25_1_1_1_1.v; - } else { - _____ncell_26_1_1_1_1 = _____cell_26_1_1_1_1; - } - __a_2_1[25] = _____ncell_26_1_1_1_1; - ______split_136_25_1_1_1_1 = _____acc_24_1_1_1_1.i == _____acc_24_1_1_1_1.j; - _____cell_25_1_1_1_1 = __pre_a_1_1[24]; - if (______split_136_25_1_1_1_1 == _true) { - _____ncell_25_1_1_1_1 = _____acc_24_1_1_1_1.v; - } else { - _____ncell_25_1_1_1_1 = _____cell_25_1_1_1_1; - } - __a_2_1[24] = _____ncell_25_1_1_1_1; - ______split_136_24_1_1_1_1 = _____acc_23_1_1_1_1.i == _____acc_23_1_1_1_1.j; - _____cell_24_1_1_1_1 = __pre_a_1_1[23]; - if (______split_136_24_1_1_1_1 == _true) { - _____ncell_24_1_1_1_1 = _____acc_23_1_1_1_1.v; - } else { - _____ncell_24_1_1_1_1 = _____cell_24_1_1_1_1; - } - __a_2_1[23] = _____ncell_24_1_1_1_1; - ______split_136_23_1_1_1_1 = _____acc_22_1_1_1_1.i == _____acc_22_1_1_1_1.j; - _____cell_23_1_1_1_1 = __pre_a_1_1[22]; - if (______split_136_23_1_1_1_1 == _true) { - _____ncell_23_1_1_1_1 = _____acc_22_1_1_1_1.v; - } else { - _____ncell_23_1_1_1_1 = _____cell_23_1_1_1_1; - } - __a_2_1[22] = _____ncell_23_1_1_1_1; - ______split_136_22_1_1_1_1 = _____acc_21_1_1_1_1.i == _____acc_21_1_1_1_1.j; - _____cell_22_1_1_1_1 = __pre_a_1_1[21]; - if (______split_136_22_1_1_1_1 == _true) { - _____ncell_22_1_1_1_1 = _____acc_21_1_1_1_1.v; - } else { - _____ncell_22_1_1_1_1 = _____cell_22_1_1_1_1; - } - __a_2_1[21] = _____ncell_22_1_1_1_1; - ______split_136_21_1_1_1_1 = _____acc_20_1_1_1_1.i == _____acc_20_1_1_1_1.j; - _____cell_21_1_1_1_1 = __pre_a_1_1[20]; - if (______split_136_21_1_1_1_1 == _true) { - _____ncell_21_1_1_1_1 = _____acc_20_1_1_1_1.v; - } else { - _____ncell_21_1_1_1_1 = _____cell_21_1_1_1_1; - } - __a_2_1[20] = _____ncell_21_1_1_1_1; - ______split_136_20_1_1_1_1 = _____acc_19_1_1_1_1.i == _____acc_19_1_1_1_1.j; - _____cell_20_1_1_1_1 = __pre_a_1_1[19]; - if (______split_136_20_1_1_1_1 == _true) { - _____ncell_20_1_1_1_1 = _____acc_19_1_1_1_1.v; - } else { - _____ncell_20_1_1_1_1 = _____cell_20_1_1_1_1; - } - __a_2_1[19] = _____ncell_20_1_1_1_1; - ______split_136_19_1_1_1_1 = _____acc_18_1_1_1_1.i == _____acc_18_1_1_1_1.j; - _____cell_19_1_1_1_1 = __pre_a_1_1[18]; - if (______split_136_19_1_1_1_1 == _true) { - _____ncell_19_1_1_1_1 = _____acc_18_1_1_1_1.v; - } else { - _____ncell_19_1_1_1_1 = _____cell_19_1_1_1_1; - } - __a_2_1[18] = _____ncell_19_1_1_1_1; - ______split_136_18_1_1_1_1 = _____acc_17_1_1_1_1.i == _____acc_17_1_1_1_1.j; - _____cell_18_1_1_1_1 = __pre_a_1_1[17]; - if (______split_136_18_1_1_1_1 == _true) { - _____ncell_18_1_1_1_1 = _____acc_17_1_1_1_1.v; - } else { - _____ncell_18_1_1_1_1 = _____cell_18_1_1_1_1; - } - __a_2_1[17] = _____ncell_18_1_1_1_1; - ______split_136_17_1_1_1_1 = _____acc_16_1_1_1_1.i == _____acc_16_1_1_1_1.j; - _____cell_17_1_1_1_1 = __pre_a_1_1[16]; - if (______split_136_17_1_1_1_1 == _true) { - _____ncell_17_1_1_1_1 = _____acc_16_1_1_1_1.v; - } else { - _____ncell_17_1_1_1_1 = _____cell_17_1_1_1_1; - } - __a_2_1[16] = _____ncell_17_1_1_1_1; - ______split_136_16_1_1_1_1 = _____acc_15_1_1_1_1.i == _____acc_15_1_1_1_1.j; - _____cell_16_1_1_1_1 = __pre_a_1_1[15]; - if (______split_136_16_1_1_1_1 == _true) { - _____ncell_16_1_1_1_1 = _____acc_15_1_1_1_1.v; - } else { - _____ncell_16_1_1_1_1 = _____cell_16_1_1_1_1; - } - __a_2_1[15] = _____ncell_16_1_1_1_1; - ______split_136_15_1_1_1_1 = _____acc_14_1_1_1_1.i == _____acc_14_1_1_1_1.j; - _____cell_15_1_1_1_1 = __pre_a_1_1[14]; - if (______split_136_15_1_1_1_1 == _true) { - _____ncell_15_1_1_1_1 = _____acc_14_1_1_1_1.v; - } else { - _____ncell_15_1_1_1_1 = _____cell_15_1_1_1_1; - } - __a_2_1[14] = _____ncell_15_1_1_1_1; - ______split_136_14_1_1_1_1 = _____acc_13_1_1_1_1.i == _____acc_13_1_1_1_1.j; - _____cell_14_1_1_1_1 = __pre_a_1_1[13]; - if (______split_136_14_1_1_1_1 == _true) { - _____ncell_14_1_1_1_1 = _____acc_13_1_1_1_1.v; - } else { - _____ncell_14_1_1_1_1 = _____cell_14_1_1_1_1; - } - __a_2_1[13] = _____ncell_14_1_1_1_1; - ______split_136_13_1_1_1_1 = _____acc_12_1_1_1_1.i == _____acc_12_1_1_1_1.j; - _____cell_13_1_1_1_1 = __pre_a_1_1[12]; - if (______split_136_13_1_1_1_1 == _true) { - _____ncell_13_1_1_1_1 = _____acc_12_1_1_1_1.v; - } else { - _____ncell_13_1_1_1_1 = _____cell_13_1_1_1_1; - } - __a_2_1[12] = _____ncell_13_1_1_1_1; - ______split_136_12_1_1_1_1 = _____acc_11_1_1_1_1.i == _____acc_11_1_1_1_1.j; - _____cell_12_1_1_1_1 = __pre_a_1_1[11]; - if (______split_136_12_1_1_1_1 == _true) { - _____ncell_12_1_1_1_1 = _____acc_11_1_1_1_1.v; - } else { - _____ncell_12_1_1_1_1 = _____cell_12_1_1_1_1; - } - __a_2_1[11] = _____ncell_12_1_1_1_1; - ______split_136_11_1_1_1_1 = _____acc_10_1_1_1_1.i == _____acc_10_1_1_1_1.j; - _____cell_11_1_1_1_1 = __pre_a_1_1[10]; - if (______split_136_11_1_1_1_1 == _true) { - _____ncell_11_1_1_1_1 = _____acc_10_1_1_1_1.v; - } else { - _____ncell_11_1_1_1_1 = _____cell_11_1_1_1_1; - } - __a_2_1[10] = _____ncell_11_1_1_1_1; - ______split_136_10_1_1_1_1 = _____acc_9_1_1_1_1.i == _____acc_9_1_1_1_1.j; - _____cell_10_1_1_1_1 = __pre_a_1_1[9]; - if (______split_136_10_1_1_1_1 == _true) { - _____ncell_10_1_1_1_1 = _____acc_9_1_1_1_1.v; - } else { - _____ncell_10_1_1_1_1 = _____cell_10_1_1_1_1; - } - __a_2_1[9] = _____ncell_10_1_1_1_1; - ______split_136_9_1_1_1_1 = _____acc_8_1_1_1_1.i == _____acc_8_1_1_1_1.j; - _____cell_9_1_1_1_1 = __pre_a_1_1[8]; - if (______split_136_9_1_1_1_1 == _true) { - _____ncell_9_1_1_1_1 = _____acc_8_1_1_1_1.v; - } else { - _____ncell_9_1_1_1_1 = _____cell_9_1_1_1_1; - } - __a_2_1[8] = _____ncell_9_1_1_1_1; - ______split_136_8_1_1_1_1 = _____acc_7_1_1_1_1.i == _____acc_7_1_1_1_1.j; - _____cell_8_1_1_1_1 = __pre_a_1_1[7]; - if (______split_136_8_1_1_1_1 == _true) { - _____ncell_8_1_1_1_1 = _____acc_7_1_1_1_1.v; - } else { - _____ncell_8_1_1_1_1 = _____cell_8_1_1_1_1; - } - __a_2_1[7] = _____ncell_8_1_1_1_1; - ______split_136_7_1_1_1_1 = _____acc_6_1_1_1_1.i == _____acc_6_1_1_1_1.j; - _____cell_7_1_1_1_1 = __pre_a_1_1[6]; - if (______split_136_7_1_1_1_1 == _true) { - _____ncell_7_1_1_1_1 = _____acc_6_1_1_1_1.v; - } else { - _____ncell_7_1_1_1_1 = _____cell_7_1_1_1_1; - } - __a_2_1[6] = _____ncell_7_1_1_1_1; - ______split_136_6_1_1_1_1 = _____acc_5_1_1_1_1.i == _____acc_5_1_1_1_1.j; - _____cell_6_1_1_1_1 = __pre_a_1_1[5]; - if (______split_136_6_1_1_1_1 == _true) { - _____ncell_6_1_1_1_1 = _____acc_5_1_1_1_1.v; - } else { - _____ncell_6_1_1_1_1 = _____cell_6_1_1_1_1; - } - __a_2_1[5] = _____ncell_6_1_1_1_1; - ______split_136_5_1_1_1_1 = _____acc_4_1_1_1_1.i == _____acc_4_1_1_1_1.j; - _____cell_5_1_1_1_1 = __pre_a_1_1[4]; - if (______split_136_5_1_1_1_1 == _true) { - _____ncell_5_1_1_1_1 = _____acc_4_1_1_1_1.v; - } else { - _____ncell_5_1_1_1_1 = _____cell_5_1_1_1_1; - } - __a_2_1[4] = _____ncell_5_1_1_1_1; - ______split_136_4_1_1_1_1 = _____acc_3_1_1_1_1.i == _____acc_3_1_1_1_1.j; - _____cell_4_1_1_1_1 = __pre_a_1_1[3]; - if (______split_136_4_1_1_1_1 == _true) { - _____ncell_4_1_1_1_1 = _____acc_3_1_1_1_1.v; - } else { - _____ncell_4_1_1_1_1 = _____cell_4_1_1_1_1; - } - __a_2_1[3] = _____ncell_4_1_1_1_1; - ______split_136_3_1_1_1_1 = _____acc_2_1_1_1_1.i == _____acc_2_1_1_1_1.j; - _____cell_3_1_1_1_1 = __pre_a_1_1[2]; - if (______split_136_3_1_1_1_1 == _true) { - _____ncell_3_1_1_1_1 = _____acc_2_1_1_1_1.v; - } else { - _____ncell_3_1_1_1_1 = _____cell_3_1_1_1_1; - } - __a_2_1[2] = _____ncell_3_1_1_1_1; - ______split_136_2_1_1_1_1 = _____acc_1_1_1_1_1.i == _____acc_1_1_1_1_1.j; - _____cell_2_1_1_1_1 = __pre_a_1_1[1]; - if (______split_136_2_1_1_1_1 == _true) { - _____ncell_2_1_1_1_1 = _____acc_1_1_1_1_1.v; - } else { - _____ncell_2_1_1_1_1 = _____cell_2_1_1_1_1; - } - __a_2_1[1] = _____ncell_2_1_1_1_1; - ______split_136_1_1_1_1_1 = ____split_3_1_1_1.i == ____split_3_1_1_1.j; - _____cell_1_1_1_1_1 = __pre_a_1_1[0]; - if (______split_136_1_1_1_1_1 == _true) { - _____ncell_1_1_1_1_1 = ____split_3_1_1_1.v; - } else { - _____ncell_1_1_1_1_1 = _____cell_1_1_1_1_1; - } - __a_2_1[0] = _____ncell_1_1_1_1_1; - ___i1_1_1_1 = 0.0; - ____acc_50_1_1_1 = ___i1_1_1_1 + __a_2_1[0]; - ____acc_51_1_1_1 = ____acc_50_1_1_1 + __a_2_1[1]; - ____acc_52_1_1_1 = ____acc_51_1_1_1 + __a_2_1[2]; - ____acc_53_1_1_1 = ____acc_52_1_1_1 + __a_2_1[3]; - ____acc_54_1_1_1 = ____acc_53_1_1_1 + __a_2_1[4]; - ____acc_55_1_1_1 = ____acc_54_1_1_1 + __a_2_1[5]; - ____acc_56_1_1_1 = ____acc_55_1_1_1 + __a_2_1[6]; - ____acc_57_1_1_1 = ____acc_56_1_1_1 + __a_2_1[7]; - ____acc_58_1_1_1 = ____acc_57_1_1_1 + __a_2_1[8]; - ____acc_59_1_1_1 = ____acc_58_1_1_1 + __a_2_1[9]; - ____acc_60_1_1_1 = ____acc_59_1_1_1 + __a_2_1[10]; - ____acc_61_1_1_1 = ____acc_60_1_1_1 + __a_2_1[11]; - ____acc_62_1_1_1 = ____acc_61_1_1_1 + __a_2_1[12]; - ____acc_63_1_1_1 = ____acc_62_1_1_1 + __a_2_1[13]; - ____acc_64_1_1_1 = ____acc_63_1_1_1 + __a_2_1[14]; - ____acc_65_1_1_1 = ____acc_64_1_1_1 + __a_2_1[15]; - ____acc_66_1_1_1 = ____acc_65_1_1_1 + __a_2_1[16]; - ____acc_67_1_1_1 = ____acc_66_1_1_1 + __a_2_1[17]; - ____acc_68_1_1_1 = ____acc_67_1_1_1 + __a_2_1[18]; - ____acc_69_1_1_1 = ____acc_68_1_1_1 + __a_2_1[19]; - ____acc_70_1_1_1 = ____acc_69_1_1_1 + __a_2_1[20]; - ____acc_71_1_1_1 = ____acc_70_1_1_1 + __a_2_1[21]; - ____acc_72_1_1_1 = ____acc_71_1_1_1 + __a_2_1[22]; - ____acc_73_1_1_1 = ____acc_72_1_1_1 + __a_2_1[23]; - ____acc_74_1_1_1 = ____acc_73_1_1_1 + __a_2_1[24]; - ____acc_75_1_1_1 = ____acc_74_1_1_1 + __a_2_1[25]; - ____acc_76_1_1_1 = ____acc_75_1_1_1 + __a_2_1[26]; - ____acc_77_1_1_1 = ____acc_76_1_1_1 + __a_2_1[27]; - ____acc_78_1_1_1 = ____acc_77_1_1_1 + __a_2_1[28]; - ____acc_79_1_1_1 = ____acc_78_1_1_1 + __a_2_1[29]; - ____acc_80_1_1_1 = ____acc_79_1_1_1 + __a_2_1[30]; - ____acc_81_1_1_1 = ____acc_80_1_1_1 + __a_2_1[31]; - ____acc_82_1_1_1 = ____acc_81_1_1_1 + __a_2_1[32]; - ____acc_83_1_1_1 = ____acc_82_1_1_1 + __a_2_1[33]; - ____acc_84_1_1_1 = ____acc_83_1_1_1 + __a_2_1[34]; - ____acc_85_1_1_1 = ____acc_84_1_1_1 + __a_2_1[35]; - ____acc_86_1_1_1 = ____acc_85_1_1_1 + __a_2_1[36]; - ____acc_87_1_1_1 = ____acc_86_1_1_1 + __a_2_1[37]; - ____acc_88_1_1_1 = ____acc_87_1_1_1 + __a_2_1[38]; - ____acc_89_1_1_1 = ____acc_88_1_1_1 + __a_2_1[39]; - ____acc_90_1_1_1 = ____acc_89_1_1_1 + __a_2_1[40]; - ____acc_91_1_1_1 = ____acc_90_1_1_1 + __a_2_1[41]; - ____acc_92_1_1_1 = ____acc_91_1_1_1 + __a_2_1[42]; - ____acc_93_1_1_1 = ____acc_92_1_1_1 + __a_2_1[43]; - ____acc_94_1_1_1 = ____acc_93_1_1_1 + __a_2_1[44]; - ____acc_95_1_1_1 = ____acc_94_1_1_1 + __a_2_1[45]; - ____acc_96_1_1_1 = ____acc_95_1_1_1 + __a_2_1[46]; - ____acc_97_1_1_1 = ____acc_96_1_1_1 + __a_2_1[47]; - ____acc_98_1_1_1 = ____acc_97_1_1_1 + __a_2_1[48]; - __split_84_1 = ____acc_98_1_1_1 + __a_2_1[49]; - __split_86_1 = __split_84_1; - break; -} - ___split_10_2_1 = __x_13_1 < __split_86_1; - if (___split_10_2_1 == _true) { - _t_3 = __split_86_1; - } else { - _t_3 = __x_13_1; - } - Lustre_pre_set(_t_3,&ctx->Lustre_pre_ctx_tab[2]); - switch (_TickOrRot_1){ - case _true: - ______split_137_50_1_2_1_1 = _____acc_49_1_2_1_1.i + 1; - ___dummy_2_1_1.i = ______split_137_50_1_2_1_1; - ___dummy_2_1_1.j = _____acc_49_1_2_1_1.j; - ___dummy_2_1_1.v = _____acc_49_1_2_1_1.v; - Lustre_pre_3_set(__a_3_1,&ctx->Lustre_pre_3_ctx_tab[0]); - Lustre_pre_2_set(__i_2_1,&ctx->Lustre_pre_2_ctx_tab[1]); - ______split_137_50_1_1_1_1 = _____acc_49_1_1_1_1.i + 1; - ___dummy_1_1_1.i = ______split_137_50_1_1_1_1; - ___dummy_1_1_1.j = _____acc_49_1_1_1_1.j; - ___dummy_1_1_1.v = _____acc_49_1_1_1_1.v; - Lustre_pre_3_set(__a_2_1,&ctx->Lustre_pre_3_ctx_tab[1]); - Lustre_pre_2_set(__i_1_1,&ctx->Lustre_pre_2_ctx_tab[2]); - break; -} - Lustre_slash_step(_d_1,_t_3,&__split_87_1); - __split_88_1 = __split_87_1 * 3.6; - Lustre_pre_set(__split_88_1,&ctx->Lustre_pre_ctx_tab[3]); - Lustre_pre_2_get(&__split_18_1,&ctx->Lustre_pre_2_ctx_tab[3]); - Lustre_pre_2_set(_st_2,&ctx->Lustre_pre_2_ctx_tab[3]); - Lustre_arrow_2_step(convertible_locked,__split_18_1,&_pst_3,&ctx->Lustre_arrow_2_ctx_tab[3]); - switch (_st_2){ - case convertible_in_motion: - _Tick_on_in_motion_1 = Tick; - switch (_Tick_on_in_motion_1){ - case _true: - Lustre_pre_get(&___split_33_1_1,&ctx->Lustre_pre_ctx_tab[5]); - Lustre_arrow_step(0.0,___split_33_1_1,&__pRoof_Percent_1_1,&ctx->Lustre_arrow_ctx_tab[5]); - switch (__st_1_1){ - case convertible_slow: - ___split_47_1_1 = __pRoof_Percent_1_1; - ___split_34_1_1 = __pRoof_Percent_1_1; - ___split_35_1_1 = 100.0 - ___split_34_1_1; - Lustre_slash_step(___split_35_1_1,5.0,&___split_36_1_1); - ____presqrt_5_4_1_1 = 1.0; - Lustre_slash_step(___split_36_1_1,____presqrt_5_4_1_1,&_____split_120_1_4_1_1); - _____split_121_1_4_1_1 = ____presqrt_5_4_1_1 + _____split_120_1_4_1_1; - ____sqrt_5_4_1_1 = 0.5 * _____split_121_1_4_1_1; - _____split_118_1_4_1_1 = ____presqrt_5_4_1_1 - ____sqrt_5_4_1_1; - ______split_2_5_1_4_1_1 = - _____split_118_1_4_1_1; - ______split_1_5_1_4_1_1 = _____split_118_1_4_1_1 >= 0.0; - if (______split_1_5_1_4_1_1 == _true) { - _____split_119_1_4_1_1 = _____split_118_1_4_1_1; - } else { - _____split_119_1_4_1_1 = ______split_2_5_1_4_1_1; - } - ____ecart_5_4_1_1 = _____split_119_1_4_1_1 < 0.0005; - switch (____ecart_5_4_1_1){ - case _false: - _____split_123_1_4_1_1 = ____sqrt_5_4_1_1; - _____split_122_1_4_1_1 = ___split_36_1_1; - Lustre_slash_step(_____split_122_1_4_1_1,_____split_123_1_4_1_1,&______split_112_1_1_4_1_1); - ______split_113_1_1_4_1_1 = _____split_123_1_4_1_1 + ______split_112_1_1_4_1_1; - _____sqrt_4_1_4_1_1 = 0.5 * ______split_113_1_1_4_1_1; - ______split_110_1_1_4_1_1 = _____split_123_1_4_1_1 - _____sqrt_4_1_4_1_1; - _______split_2_4_1_1_4_1_1 = - ______split_110_1_1_4_1_1; - _______split_1_4_1_1_4_1_1 = ______split_110_1_1_4_1_1 >= 0.0; - if (_______split_1_4_1_1_4_1_1 == _true) { - ______split_111_1_1_4_1_1 = ______split_110_1_1_4_1_1; - } else { - ______split_111_1_1_4_1_1 = _______split_2_4_1_1_4_1_1; - } - _____ecart_4_1_4_1_1 = ______split_111_1_1_4_1_1 < 0.0005; - switch (_____ecart_4_1_4_1_1){ - case _false: - ______split_115_1_1_4_1_1 = _____sqrt_4_1_4_1_1; - ______split_114_1_1_4_1_1 = _____split_122_1_4_1_1; - Lustre_slash_step(______split_114_1_1_4_1_1,______split_115_1_1_4_1_1,&_______split_104_1_1_1_4_1_1); - _______split_105_1_1_1_4_1_1 = ______split_115_1_1_4_1_1 + _______split_104_1_1_1_4_1_1; - ______sqrt_3_1_1_4_1_1 = 0.5 * _______split_105_1_1_1_4_1_1; - _______split_102_1_1_1_4_1_1 = ______split_115_1_1_4_1_1 - ______sqrt_3_1_1_4_1_1; - ________split_2_3_1_1_1_4_1_1 = - _______split_102_1_1_1_4_1_1; - ________split_1_3_1_1_1_4_1_1 = _______split_102_1_1_1_4_1_1 >= 0.0; - if (________split_1_3_1_1_1_4_1_1 == _true) { - _______split_103_1_1_1_4_1_1 = _______split_102_1_1_1_4_1_1; - } else { - _______split_103_1_1_1_4_1_1 = ________split_2_3_1_1_1_4_1_1; - } - ______ecart_3_1_1_4_1_1 = _______split_103_1_1_1_4_1_1 < 0.0005; - switch (______ecart_3_1_1_4_1_1){ - case _false: - _______split_107_1_1_1_4_1_1 = ______sqrt_3_1_1_4_1_1; - _______split_106_1_1_1_4_1_1 = ______split_114_1_1_4_1_1; - Lustre_slash_step(_______split_106_1_1_1_4_1_1,_______split_107_1_1_1_4_1_1,&________split_96_1_1_1_1_4_1_1); - ________split_97_1_1_1_1_4_1_1 = _______split_107_1_1_1_4_1_1 + ________split_96_1_1_1_1_4_1_1; - _______sqrt_2_1_1_1_4_1_1 = 0.5 * ________split_97_1_1_1_1_4_1_1; - ________split_94_1_1_1_1_4_1_1 = _______split_107_1_1_1_4_1_1 - _______sqrt_2_1_1_1_4_1_1; - _________split_2_2_1_1_1_1_4_1_1 = - ________split_94_1_1_1_1_4_1_1; - _________split_1_2_1_1_1_1_4_1_1 = ________split_94_1_1_1_1_4_1_1 >= 0.0; - if (_________split_1_2_1_1_1_1_4_1_1 == _true) { - ________split_95_1_1_1_1_4_1_1 = ________split_94_1_1_1_1_4_1_1; - } else { - ________split_95_1_1_1_1_4_1_1 = _________split_2_2_1_1_1_1_4_1_1; - } - _______ecart_2_1_1_1_4_1_1 = ________split_95_1_1_1_1_4_1_1 < 0.0005; - switch (_______ecart_2_1_1_1_4_1_1){ - case _false: - ________split_98_1_1_1_1_4_1_1 = _______split_106_1_1_1_4_1_1; - ________split_99_1_1_1_1_4_1_1 = _______sqrt_2_1_1_1_4_1_1; - Lustre_slash_step(________split_98_1_1_1_1_4_1_1,________split_99_1_1_1_1_4_1_1,&_________split_92_1_1_1_1_1_4_1_1); - _________split_93_1_1_1_1_1_4_1_1 = ________split_99_1_1_1_1_4_1_1 + _________split_92_1_1_1_1_1_4_1_1; - ________sqrt_1_1_1_1_1_4_1_1 = 0.5 * _________split_93_1_1_1_1_1_4_1_1; - _______split_108_1_1_1_4_1_1 = ________sqrt_1_1_1_1_1_4_1_1; - break; - case _true: - ________split_101_1_1_1_1_4_1_1 = _______sqrt_2_1_1_1_4_1_1; - _______split_108_1_1_1_4_1_1 = ________split_101_1_1_1_1_4_1_1; - break; -} - ______split_116_1_1_4_1_1 = _______split_108_1_1_1_4_1_1; - break; - case _true: - _______split_109_1_1_1_4_1_1 = ______sqrt_3_1_1_4_1_1; - ______split_116_1_1_4_1_1 = _______split_109_1_1_1_4_1_1; - break; -} - _____split_124_1_4_1_1 = ______split_116_1_1_4_1_1; - break; - case _true: - ______split_117_1_1_4_1_1 = _____sqrt_4_1_4_1_1; - _____split_124_1_4_1_1 = ______split_117_1_1_4_1_1; - break; -} - ___split_37_1_1 = _____split_124_1_4_1_1; - break; - case _true: - _____split_125_1_4_1_1 = ____sqrt_5_4_1_1; - ___split_37_1_1 = _____split_125_1_4_1_1; - break; -} - ____presqrt_5_3_1_1 = 1.0; - Lustre_slash_step(___split_37_1_1,____presqrt_5_3_1_1,&_____split_120_1_3_1_1); - _____split_121_1_3_1_1 = ____presqrt_5_3_1_1 + _____split_120_1_3_1_1; - ____sqrt_5_3_1_1 = 0.5 * _____split_121_1_3_1_1; - _____split_118_1_3_1_1 = ____presqrt_5_3_1_1 - ____sqrt_5_3_1_1; - ______split_2_5_1_3_1_1 = - _____split_118_1_3_1_1; - ______split_1_5_1_3_1_1 = _____split_118_1_3_1_1 >= 0.0; - if (______split_1_5_1_3_1_1 == _true) { - _____split_119_1_3_1_1 = _____split_118_1_3_1_1; - } else { - _____split_119_1_3_1_1 = ______split_2_5_1_3_1_1; - } - ____ecart_5_3_1_1 = _____split_119_1_3_1_1 < 0.0005; - switch (____ecart_5_3_1_1){ - case _false: - _____split_123_1_3_1_1 = ____sqrt_5_3_1_1; - _____split_122_1_3_1_1 = ___split_37_1_1; - Lustre_slash_step(_____split_122_1_3_1_1,_____split_123_1_3_1_1,&______split_112_1_1_3_1_1); - ______split_113_1_1_3_1_1 = _____split_123_1_3_1_1 + ______split_112_1_1_3_1_1; - _____sqrt_4_1_3_1_1 = 0.5 * ______split_113_1_1_3_1_1; - ______split_110_1_1_3_1_1 = _____split_123_1_3_1_1 - _____sqrt_4_1_3_1_1; - _______split_2_4_1_1_3_1_1 = - ______split_110_1_1_3_1_1; - _______split_1_4_1_1_3_1_1 = ______split_110_1_1_3_1_1 >= 0.0; - if (_______split_1_4_1_1_3_1_1 == _true) { - ______split_111_1_1_3_1_1 = ______split_110_1_1_3_1_1; - } else { - ______split_111_1_1_3_1_1 = _______split_2_4_1_1_3_1_1; - } - _____ecart_4_1_3_1_1 = ______split_111_1_1_3_1_1 < 0.0005; - switch (_____ecart_4_1_3_1_1){ - case _false: - ______split_115_1_1_3_1_1 = _____sqrt_4_1_3_1_1; - ______split_114_1_1_3_1_1 = _____split_122_1_3_1_1; - Lustre_slash_step(______split_114_1_1_3_1_1,______split_115_1_1_3_1_1,&_______split_104_1_1_1_3_1_1); - _______split_105_1_1_1_3_1_1 = ______split_115_1_1_3_1_1 + _______split_104_1_1_1_3_1_1; - ______sqrt_3_1_1_3_1_1 = 0.5 * _______split_105_1_1_1_3_1_1; - _______split_102_1_1_1_3_1_1 = ______split_115_1_1_3_1_1 - ______sqrt_3_1_1_3_1_1; - ________split_2_3_1_1_1_3_1_1 = - _______split_102_1_1_1_3_1_1; - ________split_1_3_1_1_1_3_1_1 = _______split_102_1_1_1_3_1_1 >= 0.0; - if (________split_1_3_1_1_1_3_1_1 == _true) { - _______split_103_1_1_1_3_1_1 = _______split_102_1_1_1_3_1_1; - } else { - _______split_103_1_1_1_3_1_1 = ________split_2_3_1_1_1_3_1_1; - } - ______ecart_3_1_1_3_1_1 = _______split_103_1_1_1_3_1_1 < 0.0005; - switch (______ecart_3_1_1_3_1_1){ - case _false: - _______split_107_1_1_1_3_1_1 = ______sqrt_3_1_1_3_1_1; - _______split_106_1_1_1_3_1_1 = ______split_114_1_1_3_1_1; - Lustre_slash_step(_______split_106_1_1_1_3_1_1,_______split_107_1_1_1_3_1_1,&________split_96_1_1_1_1_3_1_1); - ________split_97_1_1_1_1_3_1_1 = _______split_107_1_1_1_3_1_1 + ________split_96_1_1_1_1_3_1_1; - _______sqrt_2_1_1_1_3_1_1 = 0.5 * ________split_97_1_1_1_1_3_1_1; - ________split_94_1_1_1_1_3_1_1 = _______split_107_1_1_1_3_1_1 - _______sqrt_2_1_1_1_3_1_1; - _________split_2_2_1_1_1_1_3_1_1 = - ________split_94_1_1_1_1_3_1_1; - _________split_1_2_1_1_1_1_3_1_1 = ________split_94_1_1_1_1_3_1_1 >= 0.0; - if (_________split_1_2_1_1_1_1_3_1_1 == _true) { - ________split_95_1_1_1_1_3_1_1 = ________split_94_1_1_1_1_3_1_1; - } else { - ________split_95_1_1_1_1_3_1_1 = _________split_2_2_1_1_1_1_3_1_1; - } - _______ecart_2_1_1_1_3_1_1 = ________split_95_1_1_1_1_3_1_1 < 0.0005; - switch (_______ecart_2_1_1_1_3_1_1){ - case _false: - ________split_98_1_1_1_1_3_1_1 = _______split_106_1_1_1_3_1_1; - ________split_99_1_1_1_1_3_1_1 = _______sqrt_2_1_1_1_3_1_1; - Lustre_slash_step(________split_98_1_1_1_1_3_1_1,________split_99_1_1_1_1_3_1_1,&_________split_92_1_1_1_1_1_3_1_1); - _________split_93_1_1_1_1_1_3_1_1 = ________split_99_1_1_1_1_3_1_1 + _________split_92_1_1_1_1_1_3_1_1; - ________sqrt_1_1_1_1_1_3_1_1 = 0.5 * _________split_93_1_1_1_1_1_3_1_1; - _______split_108_1_1_1_3_1_1 = ________sqrt_1_1_1_1_1_3_1_1; - break; - case _true: - ________split_101_1_1_1_1_3_1_1 = _______sqrt_2_1_1_1_3_1_1; - _______split_108_1_1_1_3_1_1 = ________split_101_1_1_1_1_3_1_1; - break; -} - ______split_116_1_1_3_1_1 = _______split_108_1_1_1_3_1_1; - break; - case _true: - _______split_109_1_1_1_3_1_1 = ______sqrt_3_1_1_3_1_1; - ______split_116_1_1_3_1_1 = _______split_109_1_1_1_3_1_1; - break; -} - _____split_124_1_3_1_1 = ______split_116_1_1_3_1_1; - break; - case _true: - ______split_117_1_1_3_1_1 = _____sqrt_4_1_3_1_1; - _____split_124_1_3_1_1 = ______split_117_1_1_3_1_1; - break; -} - __slow_it_down_1_1 = _____split_124_1_3_1_1; - break; - case _true: - _____split_125_1_3_1_1 = ____sqrt_5_3_1_1; - __slow_it_down_1_1 = _____split_125_1_3_1_1; - break; -} - break; -} - Lustre_slash_step(5.,0.1,&___split_38_1_1); - Lustre_slash_step(100.,___split_38_1_1,&__kh_1_1); - switch (__st_1_1){ - case convertible_slow: - ___split_45_1_1 = __kh_1_1; - ___split_46_1_1 = __slow_it_down_1_1 * ___split_45_1_1; - ___split_48_1_1 = ___split_46_1_1 + ___split_47_1_1; - __Roof_Percent_1_1 = ___split_48_1_1; - break; -} - ___split_43_1_1 = __kh_1_1 + __pRoof_Percent_1_1; - switch (__st_1_1){ - case convertible_fast: - ___split_44_1_1 = ___split_43_1_1; - __Roof_Percent_1_1 = ___split_44_1_1; - ___split_42_1_1 = 10.0; - break; - case convertible_wait: - __Roof_Percent_1_1 = 0.0; - ___split_42_1_1 = 0.0; - break; - case convertible_slow: - ___split_41_1_1 = 10.0 * __slow_it_down_1_1; - ___split_42_1_1 = ___split_41_1_1; - break; -} - __split_25_1 = ___split_42_1_1; - break; -} - Lustre_pre_get(&___split_39_1_1,&ctx->Lustre_pre_ctx_tab[4]); - Lustre_arrow_step(0.0,___split_39_1_1,&__pRoof_Speed_1_1,&ctx->Lustre_arrow_ctx_tab[4]); - switch (_Tick_on_in_motion_1){ - case _false: - ___split_40_1_1 = __pRoof_Speed_1_1; - __split_25_1 = ___split_40_1_1; - break; -} - Lustre_pre_set(__split_25_1,&ctx->Lustre_pre_ctx_tab[4]); - switch (_Tick_on_in_motion_1){ - case _true: - switch (__st_1_1){ - case convertible_slow: - switch (____ecart_5_3_1_1){ - case _false: - switch (_____ecart_4_1_3_1_1){ - case _false: - switch (______ecart_3_1_1_3_1_1){ - case _false: - switch (_______ecart_2_1_1_1_3_1_1){ - case _false: - _________split_90_1_1_1_1_1_3_1_1 = ________split_99_1_1_1_1_3_1_1 - ________sqrt_1_1_1_1_1_3_1_1; - __________split_2_1_1_1_1_1_1_3_1_1 = - _________split_90_1_1_1_1_1_3_1_1; - __________split_1_1_1_1_1_1_1_3_1_1 = _________split_90_1_1_1_1_1_3_1_1 >= 0.0; - if (__________split_1_1_1_1_1_1_1_3_1_1 == _true) { - _________split_91_1_1_1_1_1_3_1_1 = _________split_90_1_1_1_1_1_3_1_1; - } else { - _________split_91_1_1_1_1_1_3_1_1 = __________split_2_1_1_1_1_1_1_3_1_1; - } - ________ecart_1_1_1_1_1_3_1_1 = _________split_91_1_1_1_1_1_3_1_1 < 0.0005; - break; -} - break; -} - break; -} - break; -} - switch (____ecart_5_4_1_1){ - case _false: - switch (_____ecart_4_1_4_1_1){ - case _false: - switch (______ecart_3_1_1_4_1_1){ - case _false: - switch (_______ecart_2_1_1_1_4_1_1){ - case _false: - _________split_90_1_1_1_1_1_4_1_1 = ________split_99_1_1_1_1_4_1_1 - ________sqrt_1_1_1_1_1_4_1_1; - __________split_2_1_1_1_1_1_1_4_1_1 = - _________split_90_1_1_1_1_1_4_1_1; - __________split_1_1_1_1_1_1_1_4_1_1 = _________split_90_1_1_1_1_1_4_1_1 >= 0.0; - if (__________split_1_1_1_1_1_1_1_4_1_1 == _true) { - _________split_91_1_1_1_1_1_4_1_1 = _________split_90_1_1_1_1_1_4_1_1; - } else { - _________split_91_1_1_1_1_1_4_1_1 = __________split_2_1_1_1_1_1_1_4_1_1; - } - ________ecart_1_1_1_1_1_4_1_1 = _________split_91_1_1_1_1_1_4_1_1 < 0.0005; - break; -} - break; -} - break; -} - break; -} - break; -} - Lustre_pre_set(__Roof_Percent_1_1,&ctx->Lustre_pre_ctx_tab[5]); - switch (__pst_2_1){ - case convertible_slow: - ___split_30_1_1 = __pRoof_Percent_1_1; - ___split_31_1_1 = ___split_30_1_1 < 100.0; - if (___split_31_1_1 == _true) { - ___split_32_1_1 = convertible_slow; - } else { - ___split_32_1_1 = convertible_wait; - } - __st_1_1 = ___split_32_1_1; - break; - case convertible_fast: - ___split_27_1_1 = __pRoof_Percent_1_1; - ___split_28_1_1 = ___split_27_1_1 < 85.0; - if (___split_28_1_1 == _true) { - ___split_29_1_1 = convertible_fast; - } else { - ___split_29_1_1 = convertible_slow; - } - __st_1_1 = ___split_29_1_1; - break; - case convertible_wait: - __st_1_1 = convertible_fast; - break; -} - Lustre_pre_2_get(&___split_26_1_1,&ctx->Lustre_pre_2_ctx_tab[4]); - Lustre_arrow_2_step(convertible_wait,___split_26_1_1,&__pst_2_1,&ctx->Lustre_arrow_2_ctx_tab[4]); - Lustre_pre_2_set(__st_1_1,&ctx->Lustre_pre_2_ctx_tab[4]); - break; -} - *Roof_Speed = __split_25_1; - break; - case convertible_locked: - __split_24_1 = 0.0; - *Roof_Speed = __split_24_1; - break; -} - _split_8 = OnOff & Start; - _split_9 = ! _split_8; - -} // End of convertible_main_step - diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h deleted file mode 100644 index 4785db8a..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main.h +++ /dev/null @@ -1,52 +0,0 @@ -/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ -/* lv6 -2c -en -2cgc -n main convertible.lus */ -/* on vanoise the 09/05/2019 at 15:28:26 */ - -#include -#include - -#include "lustre_types.h" -#include "lustre_consts.h" - -#ifndef _convertible_main_H_FILE -#define _convertible_main_H_FILE -void Lustre_arrow_2_ctx_reset(Lustre_arrow_2_ctx_type* ctx); -void Lustre_arrow_2_ctx_init(Lustre_arrow_2_ctx_type* ctx); -void Lustre_arrow_2_step(_integer ,_integer ,_integer *,Lustre_arrow_2_ctx_type*); - -void Lustre_arrow_ctx_reset(Lustre_arrow_ctx_type* ctx); -void Lustre_arrow_ctx_init(Lustre_arrow_ctx_type* ctx); -void Lustre_arrow_step(_real ,_real ,_real *,Lustre_arrow_ctx_type*); - -void Lustre_arrow_3_ctx_reset(Lustre_arrow_3_ctx_type* ctx); -void Lustre_arrow_3_ctx_init(Lustre_arrow_3_ctx_type* ctx); -void Lustre_arrow_3_step(_real [50],_real [50],_real [50]/*out*/,Lustre_arrow_3_ctx_type*); - -void Lustre_hat_step(_real ,_real [50]/*out*/); - -void Lustre_pre_2_ctx_reset(Lustre_pre_2_ctx_type* ctx); -void Lustre_pre_2_ctx_init(Lustre_pre_2_ctx_type* ctx); -void Lustre_pre_2_get(_integer *,Lustre_pre_2_ctx_type*); - -void Lustre_pre_2_set(_integer ,Lustre_pre_2_ctx_type*); - -void Lustre_pre_ctx_reset(Lustre_pre_ctx_type* ctx); -void Lustre_pre_ctx_init(Lustre_pre_ctx_type* ctx); -void Lustre_pre_get(_real *,Lustre_pre_ctx_type*); - -void Lustre_pre_set(_real ,Lustre_pre_ctx_type*); - -void Lustre_pre_3_ctx_reset(Lustre_pre_3_ctx_type* ctx); -void Lustre_pre_3_ctx_init(Lustre_pre_3_ctx_type* ctx); -void Lustre_pre_3_get(_real [50]/*out*/,Lustre_pre_3_ctx_type*); - -void Lustre_pre_3_set(_real [50],Lustre_pre_3_ctx_type*); - -void Lustre_slash_step(_real ,_real ,_real *); - -void convertible_main_ctx_reset(convertible_main_ctx_type* ctx); -void convertible_main_ctx_init(convertible_main_ctx_type* ctx); -void convertible_main_step(_boolean ,_boolean ,_boolean ,_boolean ,_boolean ,_boolean ,_real ,_boolean *,_boolean *,_real *,_real *,convertible_main_ctx_type*); - -///////////////////////////////////////////////// -#endif diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c deleted file mode 100644 index 9646b39f..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/convertible_main_loop.c +++ /dev/null @@ -1,86 +0,0 @@ -/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ -/* lv6 -2cgc -node main convertible.lus */ -/* on vanoise the 08/05/2019 at 23:54:11 */ - -#include -#include -#include -#include -#include "convertible_main.h" -#include "../clock.h" -#include "../dm_random.c" - -/* MACROS DEFINITIONS ****************/ -#ifndef TT -#define TT "1" -#endif -#ifndef FF -#define FF "0" -#endif -#ifndef BB -#define BB "bottom" -#endif -#ifdef CKCHECK -/* set this macro for testing output clocks */ -#endif - -/* Standard Input procedures **************/ -_boolean _get_bool(char* n){ - return dm_random_uint32() & 1; -} -/* -_integer _get_int(char* n){ - return (_integer) (dm_random_uint32() % 21) - 10; -} -*/ -_real _get_real(char* n){ - return ((_integer) (dm_random_uint32() % 2000001) - 1000000)*1E-6; -} -/* Output procedures **********************/ -void convertible_main_O_n(void* cdata, _integer _V) { -} - -/* Main procedure *************************/ -int main(){ - int _s = 0; - _boolean Start; - _boolean Parked; - _boolean Rot; - _boolean Tick; - _boolean OnOff; - _boolean Done; - _real Dist; - _boolean Danger; - _boolean Locked; - _real Speed; - _real Roof_Speed; - convertible_main_ctx_type ctx_struct; - convertible_main_ctx_type* ctx = &ctx_struct; - convertible_main_ctx_init(ctx); - // printf("#inputs \"Start\":bool \"Parked\":bool \"Rot\":bool \"Tick\":bool \"OnOff\":bool \"Done\":bool \"Dist\":real\n"); - // printf("#outputs \"Danger\":bool \"Locked\":bool \"Speed\":real \"Roof_Speed\":real\n"); - - /* Main loop */ - clock_prepare(); - clock_start(); - - for(int count=0; count<1000; count++){ - ++_s; - Start = _get_bool("Start"); - Parked = _get_bool("Parked"); - Rot = _get_bool("Rot"); - Tick = _get_bool("Tick"); - OnOff = _get_bool("OnOff"); - Done = _get_bool("Done"); - Dist = _get_real("Dist"); - convertible_main_step(Start,Parked,Rot,Tick,OnOff,Done,Dist,&Danger,&Locked,&Speed,&Roof_Speed,ctx); - // printf("%d %d %d %d %d %d %f #outs %d %d %f %f\n",Start,Parked,Rot,Tick,OnOff,Done,Dist,Danger,Locked,Speed,Roof_Speed); - // printf("%d %d %f %f\n",Danger,Locked,Speed,Roof_Speed); - } - - clock_stop(); - print_total_clock(); - - return 0; - -} diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c deleted file mode 100644 index 18d80805..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.c +++ /dev/null @@ -1,4 +0,0 @@ -/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ -/* lv6 -2c -en -2cgc -n main convertible.lus */ -/* on vanoise the 09/05/2019 at 15:28:26 */ -#include "lustre_consts.h" \ No newline at end of file diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h deleted file mode 100644 index eaa21a15..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_consts.h +++ /dev/null @@ -1,23 +0,0 @@ -/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ -/* lv6 -2c -en -2cgc -n main convertible.lus */ -/* on vanoise the 09/05/2019 at 15:28:26 */ - -// Constant definitions -#define convertible_anti_col 2 -#define convertible_deg1 1 -#define convertible_fast 1 -#define convertible_in_motion 1 -#define convertible_k 5500.0 -#define convertible_locked 0 -#define convertible_max_roof_speed 10.0 -#define convertible_no_sol 0 -#define convertible_one_sol 2 -#define convertible_period 0.1 -#define convertible_run 1 -#define convertible_size 50 -#define convertible_slow 2 -#define convertible_speed_max 110.0 -#define convertible_stationnary 0 -#define convertible_two_sol 3 -#define convertible_wait 0 -#define convertible_wheel_girth 1.4 diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h deleted file mode 100644 index 68127eb6..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/lustre-convertible-en-2cgc/lustre_types.h +++ /dev/null @@ -1,83 +0,0 @@ -/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ -/* lv6 -2c -en -2cgc -n main convertible.lus */ -/* on vanoise the 09/05/2019 at 15:28:26 */ - -#ifndef _SOC2C_PREDEF_TYPES -#define _SOC2C_PREDEF_TYPES -typedef int _boolean; -typedef int _integer; -typedef char* _string; -typedef double _real; -typedef double _double; -typedef float _float; -#define _false 0 -#define _true 1 -#endif -// end of _SOC2C_PREDEF_TYPES -// User typedef -#ifndef _convertible_main_TYPES -#define _convertible_main_TYPES -typedef _integer convertible_eq_case; -typedef _integer convertible_roof_speed_state; -typedef _integer convertible_roof_state; -typedef struct { - _integer i; - _integer j; - _real v; - } convertible_update_acc; -typedef _integer convertible_vehicle_state; -#endif // enf of _convertible_main_TYPES -// Memoryless soc ctx typedef -// Memoryfull soc ctx typedef -/* Lustre_pre_ctx */ -typedef struct { - /*Memory cell*/ - _real _memory ; -} Lustre_pre_ctx_type; - -/* Lustre_arrow_ctx */ -typedef struct { - /*Memory cell*/ - _boolean _memory ; -} Lustre_arrow_ctx_type; - -/* Lustre_pre_2_ctx */ -typedef struct { - /*Memory cell*/ - _integer _memory ; -} Lustre_pre_2_ctx_type; - -/* Lustre_arrow_2_ctx */ -typedef struct { - /*Memory cell*/ - _boolean _memory ; -} Lustre_arrow_2_ctx_type; - -/* Lustre_arrow_3_ctx */ -typedef struct { - /*Memory cell*/ - _boolean _memory ; -} Lustre_arrow_3_ctx_type; - -/* Lustre_pre_3_ctx */ -typedef struct { - /*Memory cell*/ - _real _memory[50] ; -} Lustre_pre_3_ctx_type; - -/* convertible_main_ctx */ -typedef struct { - /*INSTANCES*/ - Lustre_pre_3_ctx_type Lustre_pre_3_ctx_tab[2]; - Lustre_pre_ctx_type Lustre_pre_ctx_tab[6]; - Lustre_pre_2_ctx_type Lustre_pre_2_ctx_tab[5]; - Lustre_arrow_3_ctx_type Lustre_arrow_3_ctx_tab[2]; - Lustre_arrow_ctx_type Lustre_arrow_ctx_tab[6]; - Lustre_arrow_2_ctx_type Lustre_arrow_2_ctx_tab[5]; -} convertible_main_ctx_type; - -// Defining array and extern types assignments - -#ifndef _assign_rp50 -#define _assign_rp50(dest, source, size) memcpy(dest, source, size) -#endif diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.c b/test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.c new file mode 100644 index 00000000..18d80805 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.c @@ -0,0 +1,4 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ +#include "lustre_consts.h" \ No newline at end of file diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.h new file mode 100644 index 00000000..eaa21a15 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre_consts.h @@ -0,0 +1,23 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ + +// Constant definitions +#define convertible_anti_col 2 +#define convertible_deg1 1 +#define convertible_fast 1 +#define convertible_in_motion 1 +#define convertible_k 5500.0 +#define convertible_locked 0 +#define convertible_max_roof_speed 10.0 +#define convertible_no_sol 0 +#define convertible_one_sol 2 +#define convertible_period 0.1 +#define convertible_run 1 +#define convertible_size 50 +#define convertible_slow 2 +#define convertible_speed_max 110.0 +#define convertible_stationnary 0 +#define convertible_two_sol 3 +#define convertible_wait 0 +#define convertible_wheel_girth 1.4 diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/lustre_types.h b/test/monniaux/lustrev6-convertible-en-2cgc/lustre_types.h new file mode 100644 index 00000000..68127eb6 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/lustre_types.h @@ -0,0 +1,83 @@ +/* This file was generated by lv6 version master.737 (2727a7744111c84f7984634d2bd3ad6f7c6c7ff9). */ +/* lv6 -2c -en -2cgc -n main convertible.lus */ +/* on vanoise the 09/05/2019 at 15:28:26 */ + +#ifndef _SOC2C_PREDEF_TYPES +#define _SOC2C_PREDEF_TYPES +typedef int _boolean; +typedef int _integer; +typedef char* _string; +typedef double _real; +typedef double _double; +typedef float _float; +#define _false 0 +#define _true 1 +#endif +// end of _SOC2C_PREDEF_TYPES +// User typedef +#ifndef _convertible_main_TYPES +#define _convertible_main_TYPES +typedef _integer convertible_eq_case; +typedef _integer convertible_roof_speed_state; +typedef _integer convertible_roof_state; +typedef struct { + _integer i; + _integer j; + _real v; + } convertible_update_acc; +typedef _integer convertible_vehicle_state; +#endif // enf of _convertible_main_TYPES +// Memoryless soc ctx typedef +// Memoryfull soc ctx typedef +/* Lustre_pre_ctx */ +typedef struct { + /*Memory cell*/ + _real _memory ; +} Lustre_pre_ctx_type; + +/* Lustre_arrow_ctx */ +typedef struct { + /*Memory cell*/ + _boolean _memory ; +} Lustre_arrow_ctx_type; + +/* Lustre_pre_2_ctx */ +typedef struct { + /*Memory cell*/ + _integer _memory ; +} Lustre_pre_2_ctx_type; + +/* Lustre_arrow_2_ctx */ +typedef struct { + /*Memory cell*/ + _boolean _memory ; +} Lustre_arrow_2_ctx_type; + +/* Lustre_arrow_3_ctx */ +typedef struct { + /*Memory cell*/ + _boolean _memory ; +} Lustre_arrow_3_ctx_type; + +/* Lustre_pre_3_ctx */ +typedef struct { + /*Memory cell*/ + _real _memory[50] ; +} Lustre_pre_3_ctx_type; + +/* convertible_main_ctx */ +typedef struct { + /*INSTANCES*/ + Lustre_pre_3_ctx_type Lustre_pre_3_ctx_tab[2]; + Lustre_pre_ctx_type Lustre_pre_ctx_tab[6]; + Lustre_pre_2_ctx_type Lustre_pre_2_ctx_tab[5]; + Lustre_arrow_3_ctx_type Lustre_arrow_3_ctx_tab[2]; + Lustre_arrow_ctx_type Lustre_arrow_ctx_tab[6]; + Lustre_arrow_2_ctx_type Lustre_arrow_2_ctx_tab[5]; +} convertible_main_ctx_type; + +// Defining array and extern types assignments + +#ifndef _assign_rp50 +#define _assign_rp50(dest, source, size) memcpy(dest, source, size) +#endif -- cgit From 33cc0aeef68f3adbb51484dbeafccfca5735f8ee Mon Sep 17 00:00:00 2001 From: David Monniaux Date: Thu, 6 Jun 2019 12:01:53 +0200 Subject: some more benchmarks --- test/monniaux/lustrev6-convertible-en-2cgc/make.proto | 3 +++ 1 file changed, 3 insertions(+) create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/make.proto (limited to 'test/monniaux/lustrev6-convertible-en-2cgc') diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/make.proto b/test/monniaux/lustrev6-convertible-en-2cgc/make.proto new file mode 100644 index 00000000..f73538f6 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/make.proto @@ -0,0 +1,3 @@ +sources: "$(wildcard *.c)" +target: lv6-en-2cgc_convertible +measures: [cycles] -- cgit From b288b587378984c3c419d26a13dcf93686d1b779 Mon Sep 17 00:00:00 2001 From: Cyril SIX Date: Wed, 17 Jul 2019 12:03:39 +0200 Subject: All working benches ported --- test/monniaux/lustrev6-convertible-en-2cgc/Makefile | 3 +++ test/monniaux/lustrev6-convertible-en-2cgc/make.proto | 3 --- 2 files changed, 3 insertions(+), 3 deletions(-) create mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/Makefile delete mode 100644 test/monniaux/lustrev6-convertible-en-2cgc/make.proto (limited to 'test/monniaux/lustrev6-convertible-en-2cgc') diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/Makefile b/test/monniaux/lustrev6-convertible-en-2cgc/Makefile new file mode 100644 index 00000000..009f7f35 --- /dev/null +++ b/test/monniaux/lustrev6-convertible-en-2cgc/Makefile @@ -0,0 +1,3 @@ +TARGET=lv6-en-2cgc_convertible + +include ../rules.mk diff --git a/test/monniaux/lustrev6-convertible-en-2cgc/make.proto b/test/monniaux/lustrev6-convertible-en-2cgc/make.proto deleted file mode 100644 index f73538f6..00000000 --- a/test/monniaux/lustrev6-convertible-en-2cgc/make.proto +++ /dev/null @@ -1,3 +0,0 @@ -sources: "$(wildcard *.c)" -target: lv6-en-2cgc_convertible -measures: [cycles] -- cgit