From 8588576692b021b919f0b1c2b0bf5776400603fd Mon Sep 17 00:00:00 2001 From: tonymmm1 Date: Sun, 9 Aug 2020 20:58:19 -0500 Subject: changed hx8kdemo from arachne-pnr to nextpnr-ice40 --- picosoc/Makefile | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/picosoc/Makefile b/picosoc/Makefile index f600062..8055ea0 100644 --- a/picosoc/Makefile +++ b/picosoc/Makefile @@ -10,8 +10,8 @@ hx8ksim: hx8kdemo_tb.vvp hx8kdemo_fw.hex hx8ksynsim: hx8kdemo_syn_tb.vvp hx8kdemo_fw.hex vvp -N $< +firmware=hx8kdemo_fw.hex -hx8kdemo.blif: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v - yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -blif hx8kdemo.blif' $^ +hx8kdemo.json: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v + yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -json hx8kdemo.json' $^ hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` @@ -22,8 +22,8 @@ hx8kdemo_syn_tb.vvp: hx8kdemo_tb.v hx8kdemo_syn.v spiflash.v hx8kdemo_syn.v: hx8kdemo.blif yosys -p 'read_blif -wideports hx8kdemo.blif; write_verilog hx8kdemo_syn.v' -hx8kdemo.asc: hx8kdemo.pcf hx8kdemo.blif - arachne-pnr -d 8k -o hx8kdemo.asc -p hx8kdemo.pcf hx8kdemo.blif +hx8kdemo.asc: hx8kdemo.pcf hx8kdemo.json + nextpnr-ice40 --hx8k --package ct256 --asc hx8kdemo.asc --json hx8kdemo.json --pcf hx8kdemo.pcf hx8kdemo.bin: hx8kdemo.asc icetime -d hx8k -c 12 -mtr hx8kdemo.rpt hx8kdemo.asc -- cgit