From ef8796de45e6e5b479a9112b471a054590989615 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sat, 27 Jun 2015 23:05:00 +0200 Subject: Minor vivado script changes --- scripts/vivado/Makefile | 3 +++ scripts/vivado/synth_speed.tcl | 1 + 2 files changed, 4 insertions(+) diff --git a/scripts/vivado/Makefile b/scripts/vivado/Makefile index 9ca46a9..01d36b1 100644 --- a/scripts/vivado/Makefile +++ b/scripts/vivado/Makefile @@ -5,8 +5,11 @@ help: @echo "Usage: make {synth_speed|synth_area|synth_soc}" synth_%: + rm -f $@.log $(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl rm -rf .Xil fsm_encoding.os synth_*.backup.log usage_statistics_webtalk.* + -grep -B4 -A10 'Slice LUTs' $@.log + -grep -B1 -A9 ^Slack $@.log && echo tab_%/results.txt: bash tabtest.sh $@ diff --git a/scripts/vivado/synth_speed.tcl b/scripts/vivado/synth_speed.tcl index dc83ab1..ae81560 100644 --- a/scripts/vivado/synth_speed.tcl +++ b/scripts/vivado/synth_speed.tcl @@ -5,6 +5,7 @@ read_xdc synth_speed.xdc synth_design -part xc7k70t-fbg676 -top picorv32_axi opt_design place_design +phys_opt_design route_design report_utilization -- cgit