From 98ee8098b9d64eacc42a5d1b5d9764d2a2b635e3 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 27 Jul 2017 21:36:38 +0200 Subject: Add testbench_ez --- Makefile | 14 ++++++++++++-- 1 file changed, 12 insertions(+), 2 deletions(-) (limited to 'Makefile') diff --git a/Makefile b/Makefile index 00f4cc7..af4b7ab 100644 --- a/Makefile +++ b/Makefile @@ -25,6 +25,12 @@ test_wb: testbench_wb.vvp firmware/firmware.hex test_wb_vcd: testbench_wb.vvp firmware/firmware.hex vvp -N $< +vcd +trace +noerror +test_ez: testbench_ez.vvp + vvp -N $< + +test_ez_vcd: testbench_ez.vvp + vvp -N $< +vcd + check: check-yices check-%: check.smt2 @@ -54,6 +60,10 @@ testbench_wb.vvp: testbench_wb.v picorv32.v iverilog -o $@ $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) $^ chmod -x $@ +testbench_ez.vvp: testbench_ez.v picorv32.v + iverilog -o $@ $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) $^ + chmod -x $@ + testbench_sp.vvp: testbench.v picorv32.v iverilog -o $@ $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) -DSP_TEST $^ chmod -x $@ @@ -144,7 +154,7 @@ clean: riscv-gnu-toolchain-riscv32im riscv-gnu-toolchain-riscv32imc rm -vrf $(FIRMWARE_OBJS) $(TEST_OBJS) check.smt2 check.vcd synth.v synth.log \ firmware/firmware.elf firmware/firmware.bin firmware/firmware.hex firmware/firmware.map \ - testbench.vvp testbench_sp.vvp testbench_synth.vvp \ + testbench.vvp testbench_sp.vvp testbench_synth.vvp testbench_ez.vvp \ testbench_wb.vvp testbench.vcd testbench.trace -.PHONY: test test_vcd test_sp test_axi test_wb test_wb_vcd test_synth download-tools build-tools toc clean +.PHONY: test test_vcd test_sp test_axi test_wb test_wb_vcd test_ez test_ez_vcd test_synth download-tools build-tools toc clean -- cgit