From a25597532d5af466a280e7c068dfae3ba362af44 Mon Sep 17 00:00:00 2001 From: Antony Pavlov Date: Sat, 11 Mar 2017 23:55:14 +0300 Subject: WIP: add WISHBONE testbench Signed-off-by: Antony Pavlov --- Makefile | 16 +++++++++++++--- 1 file changed, 13 insertions(+), 3 deletions(-) (limited to 'Makefile') diff --git a/Makefile b/Makefile index 8e51ca8..5f93820 100644 --- a/Makefile +++ b/Makefile @@ -16,6 +16,12 @@ test: testbench.vvp firmware/firmware.hex test_vcd: testbench.vvp firmware/firmware.hex vvp -N $< +vcd +trace +noerror +test_wb: testbench_wb.vvp firmware/firmware.hex + vvp -N $< + +test_wb_vcd: testbench_wb.vvp firmware/firmware.hex + vvp -N $< +vcd +trace +noerror + check: check-yices check-%: check.smt2 @@ -41,6 +47,10 @@ testbench.vvp: testbench.v picorv32.v iverilog -o testbench.vvp $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) -DRISCV_FORMAL testbench.v picorv32.v chmod -x testbench.vvp +testbench_wb.vvp: testbench_wb.v picorv32.v + iverilog -o $@ $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) -DRISCV_FORMAL $^ + chmod -x $@ + testbench_sp.vvp: testbench.v picorv32.v iverilog -o testbench_sp.vvp $(subst C,-DCOMPRESSED_ISA,$(COMPRESSED_ISA)) -DRISCV_FORMAL -DSP_TEST testbench.v picorv32.v chmod -x testbench_sp.vvp @@ -131,7 +141,7 @@ clean: riscv-gnu-toolchain-riscv32im riscv-gnu-toolchain-riscv32imc rm -vrf $(FIRMWARE_OBJS) $(TEST_OBJS) check.smt2 check.vcd synth.v synth.log \ firmware/firmware.elf firmware/firmware.bin firmware/firmware.hex firmware/firmware.map \ - testbench.vvp testbench_sp.vvp testbench_synth.vvp testbench.vcd testbench.trace - -.PHONY: test test_vcd test_sp test_axi test_synth download-tools build-tools toc clean + testbench.vvp testbench_sp.vvp testbench_synth.vvp \ + testbench_wb.vvp testbench.vcd testbench.trace +.PHONY: test test_vcd test_sp test_axi test_wb test_wb_vcd test_synth download-tools build-tools toc clean -- cgit