From 6c69b3812e55f1383a8d23d097c54d67a1167116 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Wed, 4 May 2016 08:57:16 +0200 Subject: Using .vvp instead of .exe for iverilog executables --- dhrystone/Makefile | 22 +++++++++++----------- 1 file changed, 11 insertions(+), 11 deletions(-) (limited to 'dhrystone') diff --git a/dhrystone/Makefile b/dhrystone/Makefile index 707a94f..255749d 100644 --- a/dhrystone/Makefile +++ b/dhrystone/Makefile @@ -3,23 +3,23 @@ OBJS = start.o dhry_1.o dhry_2.o stdlib.o CFLAGS = -MD -O3 -m32 -march=RV32IM -ffreestanding -nostdlib -DTIME -DRISCV TOOLCHAIN_PREFIX = riscv32-unknown-elf- -test: testbench.exe dhry.hex - vvp -N testbench.exe +test: testbench.vvp dhry.hex + vvp -N testbench.vvp timing: timing.txt grep '^##' timing.txt | gawk 'x != "" {print x,$$3-y;} {x=$$2;y=$$3;}' | sort | uniq -c | \ gawk '{printf("%03d-%-7s %2d %-8s (%d)\n",$$3,$$2,$$3,$$2,$$1);}' | sort | cut -c13- -timing.txt: timing.exe dhry.hex - vvp -N timing.exe > timing.txt +timing.txt: timing.vvp dhry.hex + vvp -N timing.vvp > timing.txt -testbench.exe: testbench.v ../picorv32.v - iverilog -o testbench.exe testbench.v ../picorv32.v - chmod -x testbench.exe +testbench.vvp: testbench.v ../picorv32.v + iverilog -o testbench.vvp testbench.v ../picorv32.v + chmod -x testbench.vvp -timing.exe: testbench.v ../picorv32.v - iverilog -o timing.exe -DTIMING testbench.v ../picorv32.v - chmod -x timing.exe +timing.vvp: testbench.v ../picorv32.v + iverilog -o timing.vvp -DTIMING testbench.v ../picorv32.v + chmod -x timing.vvp dhry.hex: dhry.bin ../firmware/makehex.py python3 ../firmware/makehex.py $< 16384 > $@ @@ -41,7 +41,7 @@ dhry.elf: $(OBJS) ../firmware/sections.lds dhry_1.o dhry_2.o: CFLAGS += -Wno-implicit-int -Wno-implicit-function-declaration clean: - rm -rf *.o *.d dhry.elf dhry.map dhry.bin dhry.hex testbench.exe testbench.vcd timing.exe timing.txt + rm -rf *.o *.d dhry.elf dhry.map dhry.bin dhry.hex testbench.vvp testbench.vcd timing.vvp timing.txt .PHONY: test clean -- cgit