From dc9b100814a9b4be684457e4ea74ef9940276a4f Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Mon, 29 Aug 2016 21:08:21 -0700 Subject: Dhrystone: Add toolchain prefix to objcopy --- dhrystone/Makefile | 2 +- dhrystone/testbench.v | 1 + 2 files changed, 2 insertions(+), 1 deletion(-) (limited to 'dhrystone') diff --git a/dhrystone/Makefile b/dhrystone/Makefile index 3609a97..9cc191f 100644 --- a/dhrystone/Makefile +++ b/dhrystone/Makefile @@ -29,7 +29,7 @@ timing.vvp: testbench.v ../picorv32.v chmod -x timing.vvp dhry.hex: dhry.elf - riscv32-unknown-elf-objcopy -O verilog $< $@ + $(TOOLCHAIN_PREFIX)objcopy -O verilog $< $@ ifeq ($(USE_MYSTDLIB),1) dhry.elf: $(OBJS) sections.lds diff --git a/dhrystone/testbench.v b/dhrystone/testbench.v index 2d985d6..b767a9e 100644 --- a/dhrystone/testbench.v +++ b/dhrystone/testbench.v @@ -29,6 +29,7 @@ module testbench; picorv32 #( .BARREL_SHIFTER(1), .ENABLE_MUL(1), + .ENABLE_FAST_MUL(1), .ENABLE_DIV(1), .PROGADDR_RESET('h10000), .STACKADDR('h10000) -- cgit