From cb766a3757772b39c0f78094bb64b6c8d87e658d Mon Sep 17 00:00:00 2001 From: Jörg Mische Date: Mon, 1 Oct 2018 14:00:21 +0200 Subject: Fix PicoSoC firmware filename for simulation --- picosoc/Makefile | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) (limited to 'picosoc') diff --git a/picosoc/Makefile b/picosoc/Makefile index 3f4ef1e..aee1195 100644 --- a/picosoc/Makefile +++ b/picosoc/Makefile @@ -1,11 +1,11 @@ # ---- iCE40 HX8K Breakout Board ---- -hx8ksim: hx8kdemo_tb.vvp firmware.hex - vvp -N $< +hx8ksim: hx8kdemo_tb.vvp hx8kdemo_fw.hex + vvp -N $< +firmware=hx8kdemo_fw.hex -hx8ksynsim: hx8kdemo_syn_tb.vvp firmware.hex - vvp -N $< +hx8ksynsim: hx8kdemo_syn_tb.vvp hx8kdemo_fw.hex + vvp -N $< +firmware=hx8kdemo_fw.hex hx8kdemo.blif: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -blif hx8kdemo.blif' $^ @@ -44,11 +44,11 @@ hx8kdemo_fw.bin: hx8kdemo_fw.elf # ---- iCE40 IceBreaker Board ---- -icebsim: icebreaker_tb.vvp firmware.hex - vvp -N $< +icebsim: icebreaker_tb.vvp icebreaker_fw.hex + vvp -N $< +firmware=icebreaker_fw.hex -icebsynsim: icebreaker_syn_tb.vvp firmware.hex - vvp -N $< +icebsynsim: icebreaker_syn_tb.vvp icebreaker_fw.hex + vvp -N $< +firmware=icebreaker_fw.hex icebreaker.json: icebreaker.v spimemio.v simpleuart.v picosoc.v ../picorv32.v yosys -ql icebreaker.log -p 'synth_ice40 -top icebreaker -json icebreaker.json' $^ -- cgit