From f4842be8bbbf0ba6b44b6a5aaf69647e5007924a Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 19 Jul 2015 16:44:31 +0200 Subject: Improved icestorm example --- scripts/icestorm/Makefile | 5 +++-- 1 file changed, 3 insertions(+), 2 deletions(-) (limited to 'scripts/icestorm/Makefile') diff --git a/scripts/icestorm/Makefile b/scripts/icestorm/Makefile index 296c8ed..a6a4b4f 100644 --- a/scripts/icestorm/Makefile +++ b/scripts/icestorm/Makefile @@ -1,15 +1,16 @@ TOOLCHAIN_PREFIX = riscv64-unknown-elf- -ICECUBE_DIR = /opt/lscc/iCEcube2.2014.08 all: example.bin firmware.elf: firmware.S firmware.c firmware.lds $(TOOLCHAIN_PREFIX)gcc -Os -m32 -ffreestanding -nostdlib -o firmware.elf firmware.S firmware.c \ --std=gnu99 -Wl,-Bstatic,-T,firmware.lds,-Map,firmware.map,--strip-debug -lgcc + chmod -x firmware.elf firmware.bin: firmware.elf $(TOOLCHAIN_PREFIX)objcopy -O binary firmware.elf firmware.bin + chmod -x firmware.bin firmware.hex: firmware.bin python3 ../../firmware/makehex.py firmware.bin 128 > firmware.hex @@ -24,7 +25,7 @@ example.bin: example.txt icepack example.txt example.bin example_tb.exe: example_tb.v example.v firmware.hex - iverilog -o example_tb.exe -s testbench example.v example_tb.v ../../picorv32.v # $(ICECUBE_DIR)/verilog/sb_ice_syn.v + iverilog -o example_tb.exe -s testbench example.v example_tb.v ../../picorv32.v chmod -x example_tb.exe sim: example_tb.exe -- cgit