From 41918ee26533daaf2b22dbbf79656cfad914b3f3 Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Tue, 30 Aug 2016 23:02:31 -0700 Subject: synth_speed --- scripts/quartus/synth_speed.sdc | 1 + 1 file changed, 1 insertion(+) create mode 100644 scripts/quartus/synth_speed.sdc (limited to 'scripts/quartus/synth_speed.sdc') diff --git a/scripts/quartus/synth_speed.sdc b/scripts/quartus/synth_speed.sdc new file mode 100644 index 0000000..fef5704 --- /dev/null +++ b/scripts/quartus/synth_speed.sdc @@ -0,0 +1 @@ +create_clock -period 2.5 [get_ports clk] -- cgit