From e7f5d640cab596410cb21bab0ac7bc49c3ad87b7 Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Tue, 30 Aug 2016 22:54:14 -0700 Subject: synth_system --- scripts/quartus/system.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'scripts/quartus/system.v') diff --git a/scripts/quartus/system.v b/scripts/quartus/system.v index c4882a1..19a4b8d 100644 --- a/scripts/quartus/system.v +++ b/scripts/quartus/system.v @@ -8,7 +8,7 @@ module system ( output reg out_byte_en ); // set this to 0 for better timing but less performance/MHz - parameter FAST_MEMORY = 1; + parameter FAST_MEMORY = 0; // 4096 32bit words = 16kB memory parameter MEM_SIZE = 4096; -- cgit