From 35b0a592e20f04b1f8954af06d019c351cbb3d20 Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Wed, 31 Aug 2016 07:36:32 -0700 Subject: Delete unusused file --- scripts/quartus/synth_area.tcl | 8 -------- 1 file changed, 8 deletions(-) delete mode 100644 scripts/quartus/synth_area.tcl (limited to 'scripts') diff --git a/scripts/quartus/synth_area.tcl b/scripts/quartus/synth_area.tcl deleted file mode 100644 index c222a00..0000000 --- a/scripts/quartus/synth_area.tcl +++ /dev/null @@ -1,8 +0,0 @@ -read_verilog ../../picorv32.v -read_xdc synth_area.xdc - -synth_design -part xc7k70t-fbg676 -top picorv32_axi -opt_design -resynth_seq_area - -report_utilization -report_timing -- cgit