From 36152a568873864e9c980b9c62d73969f3900238 Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Tue, 30 Aug 2016 22:24:48 -0700 Subject: Make synth_area_small work --- scripts/quartus/Makefile | 19 ++++++++++--------- scripts/quartus/synth_area.sdc | 1 + scripts/quartus/synth_area.xdc | 1 - scripts/quartus/synth_area_small.qsf | 6 ++++++ scripts/quartus/synth_area_small.tcl | 10 ---------- scripts/quartus/synth_speed.xdc | 1 - 6 files changed, 17 insertions(+), 21 deletions(-) create mode 100644 scripts/quartus/synth_area.sdc delete mode 100644 scripts/quartus/synth_area.xdc create mode 100644 scripts/quartus/synth_area_small.qsf delete mode 100644 scripts/quartus/synth_area_small.tcl delete mode 100644 scripts/quartus/synth_speed.xdc (limited to 'scripts') diff --git a/scripts/quartus/Makefile b/scripts/quartus/Makefile index 31f26cd..49be1ca 100644 --- a/scripts/quartus/Makefile +++ b/scripts/quartus/Makefile @@ -1,12 +1,10 @@ -QUARTUS_ROOTDIR = /opt/altera_lite/16.0 -QUARTUS_BIN = $(QUARTUS_ROOTDIR)/quartus/bin +export QUARTUS_ROOTDIR = /opt/altera_lite/16.0 +export QUARTUS_BIN = $(QUARTUS_ROOTDIR)/quartus/bin VLOG = iverilog TOOLCHAIN_PREFIX = /opt/riscv32i/bin/riscv32-unknown-elf- -export VIVADO - help: @echo "" @echo "Simple synthesis tests:" @@ -24,10 +22,13 @@ help: synth_%: rm -f $@.log - $(VIVADO) -nojournal -log $@.log -mode batch -source $@.tcl - rm -rf .Xil fsm_encoding.os synth_*.backup.log usage_statistics_webtalk.* - -grep -B4 -A10 'Slice LUTs' $@.log - -grep -B1 -A9 ^Slack $@.log && echo + mkdir -p $@_build + cp $@.qsf $@_build + cd $@_build && $(QUARTUS_BIN)/quartus_map $@.qsf + cd $@_build && $(QUARTUS_BIN)/quartus_fit --read_settings_files=off -write_settings_files=off $@ -c $@ + cd $@_build && $(QUARTUS_BIN)/quartus_sta $@ -c $@ + -cd $@_build && grep -A3 "Total logic elements" output_files/$@.fit.summary + -cd $@_build && grep -B1 "Slack" output_files/$@.sta.summary synth_system: firmware.hex @@ -56,5 +57,5 @@ table.txt: clean: rm -rf firmware.bin firmware.elf firmware.hex firmware.map synth_*.log - rm -rf synth_*.mmi synth_*.bit synth_system.v table.txt tab_*/ webtalk.jou + rm -rf synth_*.mmi synth_*.bit synth_system.v table.txt tab_*/ diff --git a/scripts/quartus/synth_area.sdc b/scripts/quartus/synth_area.sdc new file mode 100644 index 0000000..3c3d5a1 --- /dev/null +++ b/scripts/quartus/synth_area.sdc @@ -0,0 +1 @@ +create_clock -period 20.00 [get_ports clk] diff --git a/scripts/quartus/synth_area.xdc b/scripts/quartus/synth_area.xdc deleted file mode 100644 index 3c3d5a1..0000000 --- a/scripts/quartus/synth_area.xdc +++ /dev/null @@ -1 +0,0 @@ -create_clock -period 20.00 [get_ports clk] diff --git a/scripts/quartus/synth_area_small.qsf b/scripts/quartus/synth_area_small.qsf new file mode 100644 index 0000000..7f151a8 --- /dev/null +++ b/scripts/quartus/synth_area_small.qsf @@ -0,0 +1,6 @@ +set_global_assignment -name DEVICE ep4ce30f23c7 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name TOP_LEVEL_ENTITY top_small +set_global_assignment -name VERILOG_FILE ../synth_area_top.v +set_global_assignment -name VERILOG_FILE ../../../picorv32.v +set_global_assignment -name SDC_FILE ../synth_area.sdc diff --git a/scripts/quartus/synth_area_small.tcl b/scripts/quartus/synth_area_small.tcl deleted file mode 100644 index 11d2104..0000000 --- a/scripts/quartus/synth_area_small.tcl +++ /dev/null @@ -1,10 +0,0 @@ -read_verilog ../../picorv32.v -read_verilog synth_area_top.v -read_xdc synth_area.xdc - -synth_design -part xc7k70t-fbg676 -top top_small -opt_design -sweep -propconst -resynth_seq_area -opt_design -directive ExploreSequentialArea - -report_utilization -report_timing diff --git a/scripts/quartus/synth_speed.xdc b/scripts/quartus/synth_speed.xdc deleted file mode 100644 index 877ec8d..0000000 --- a/scripts/quartus/synth_speed.xdc +++ /dev/null @@ -1 +0,0 @@ -create_clock -period 2.50 [get_ports clk] -- cgit