From 41918ee26533daaf2b22dbbf79656cfad914b3f3 Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Tue, 30 Aug 2016 23:02:31 -0700 Subject: synth_speed --- scripts/quartus/synth_speed.qsf | 5 +++++ scripts/quartus/synth_speed.sdc | 1 + scripts/quartus/synth_speed.tcl | 13 ------------- 3 files changed, 6 insertions(+), 13 deletions(-) create mode 100644 scripts/quartus/synth_speed.qsf create mode 100644 scripts/quartus/synth_speed.sdc delete mode 100644 scripts/quartus/synth_speed.tcl (limited to 'scripts') diff --git a/scripts/quartus/synth_speed.qsf b/scripts/quartus/synth_speed.qsf new file mode 100644 index 0000000..64490d4 --- /dev/null +++ b/scripts/quartus/synth_speed.qsf @@ -0,0 +1,5 @@ +set_global_assignment -name DEVICE ep4ce40f29c7 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name TOP_LEVEL_ENTITY picorv32_axi +set_global_assignment -name VERILOG_FILE ../../../picorv32.v +set_global_assignment -name SDC_FILE ../synth_speed.sdc diff --git a/scripts/quartus/synth_speed.sdc b/scripts/quartus/synth_speed.sdc new file mode 100644 index 0000000..fef5704 --- /dev/null +++ b/scripts/quartus/synth_speed.sdc @@ -0,0 +1 @@ +create_clock -period 2.5 [get_ports clk] diff --git a/scripts/quartus/synth_speed.tcl b/scripts/quartus/synth_speed.tcl deleted file mode 100644 index f3874e4..0000000 --- a/scripts/quartus/synth_speed.tcl +++ /dev/null @@ -1,13 +0,0 @@ - -read_verilog ../../picorv32.v -read_xdc synth_speed.xdc - -synth_design -part xc7k70t-fbg676 -top picorv32_axi -opt_design -place_design -phys_opt_design -route_design - -report_utilization -report_timing - -- cgit