From 91deccd3a18ad6edcb793424f7c56f2f724d4b3f Mon Sep 17 00:00:00 2001 From: Tom Verbeure Date: Tue, 30 Aug 2016 22:56:31 -0700 Subject: Don't delete non-existing files --- scripts/quartus/Makefile | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'scripts') diff --git a/scripts/quartus/Makefile b/scripts/quartus/Makefile index f626fc5..8b994e0 100644 --- a/scripts/quartus/Makefile +++ b/scripts/quartus/Makefile @@ -57,6 +57,6 @@ table.txt: clean: rm -rf firmware.bin firmware.elf firmware.hex firmware.map synth_*.log - rm -rf synth_system.v table.txt tab_*/ - rm -rf synth_area_*_build + rm -rf table.txt tab_*/ + rm -rf synth_*_build -- cgit