From 1f99de511760b3acb546e62623c65d6143b30a77 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Sun, 28 Jun 2015 13:07:50 +0200 Subject: Improvements in picorv32_pcpi_mul --- testbench.v | 4 +++- 1 file changed, 3 insertions(+), 1 deletion(-) (limited to 'testbench.v') diff --git a/testbench.v b/testbench.v index 135fbdc..53badd3 100644 --- a/testbench.v +++ b/testbench.v @@ -244,10 +244,12 @@ module testbench; $finish; end + integer cycle_counter; always @(posedge clk) begin + cycle_counter <= resetn ? cycle_counter + 1 : 0; if (resetn && trap) begin repeat (10) @(posedge clk); - $display("TRAP"); + $display("TRAP after %1d clock cycles", cycle_counter); $finish; end end -- cgit