From 23b700cf73b7b01b4737db106226bd4220799290 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 25 Jun 2015 14:08:39 +0200 Subject: Added basic IRQ support --- testbench.v | 8 +++++++- 1 file changed, 7 insertions(+), 1 deletion(-) (limited to 'testbench.v') diff --git a/testbench.v b/testbench.v index c14122d..b9914f5 100644 --- a/testbench.v +++ b/testbench.v @@ -6,6 +6,7 @@ module testbench; reg clk = 1; reg resetn = 0; + wire irq = &uut.picorv32_core.count_cycle[12:0]; wire trap; always #5 clk = ~clk; @@ -37,9 +38,14 @@ module testbench; wire mem_axi_rready; reg [31:0] mem_axi_rdata; - picorv32_axi uut ( + picorv32_axi #( + .ENABLE_EXTERNAL_IRQ (1), + .ENABLE_ILLINSTR_IRQ (1), + .ENABLE_TIMER_IRQ (1) + ) uut ( .clk (clk ), .resetn (resetn ), + .irq (irq ), .trap (trap ), .mem_axi_awvalid(mem_axi_awvalid), .mem_axi_awready(mem_axi_awready), -- cgit