From 98d248d2c2f785f1b35ce7a08df7705e1945597f Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Fri, 26 Aug 2016 14:54:27 +0200 Subject: Finalized tracer support --- testbench.v | 3 +++ 1 file changed, 3 insertions(+) (limited to 'testbench.v') diff --git a/testbench.v b/testbench.v index dc7a7f3..18cce40 100644 --- a/testbench.v +++ b/testbench.v @@ -48,6 +48,7 @@ module testbench #( $fwrite(trace_file, "%x\n", trace_data); end $fclose(trace_file); + $display("Finished writing testbench.trace."); end end @@ -194,6 +195,8 @@ module picorv32_wrapper #( $finish; end else begin $display("ERROR!"); + if ($test$plusargs("noerror")) + $finish; $stop; end end -- cgit