From a7f9b7fbf37eb23847d113b907ae4385ccfefa19 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Thu, 2 Jul 2015 10:45:35 +0200 Subject: Some testbench-related improvements Patch by Larry Doolittle --- testbench.v | 6 ++++-- 1 file changed, 4 insertions(+), 2 deletions(-) (limited to 'testbench.v') diff --git a/testbench.v b/testbench.v index 53badd3..3a1c119 100644 --- a/testbench.v +++ b/testbench.v @@ -237,8 +237,10 @@ module testbench; end initial begin - $dumpfile("testbench.vcd"); - $dumpvars(0, testbench); + if ($test$plusargs("vcd")) begin + $dumpfile("testbench.vcd"); + $dumpvars(0, testbench); + end repeat (1000000) @(posedge clk); $display("TIMEOUT"); $finish; -- cgit