From c4c477180e1ce829d231765e32e80db4bfc8b137 Mon Sep 17 00:00:00 2001 From: Clifford Wolf Date: Wed, 3 Feb 2016 16:33:01 +0100 Subject: Merged various testbench changes from compressed ISA branch --- testbench.v | 1 - 1 file changed, 1 deletion(-) (limited to 'testbench.v') diff --git a/testbench.v b/testbench.v index 4703f3a..f03fce3 100644 --- a/testbench.v +++ b/testbench.v @@ -7,7 +7,6 @@ `timescale 1 ns / 1 ps // `define VERBOSE -// `define AXI_TEST module testbench; -- cgit