create_clock -period 10.00 [get_ports clk]