create_clock -period 5.00 [get_ports clk]