create_clock -period 4.00 [get_ports clk]