From c676092e38d00cf12ea5a063ca3ab8c5bb50f659 Mon Sep 17 00:00:00 2001 From: Nadesh Ramanathan Date: Mon, 9 Nov 2020 22:31:03 +0000 Subject: a script to execute polybench on vericert --- benchmarks/polybench-syn/run-vericert.sh | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) create mode 100755 benchmarks/polybench-syn/run-vericert.sh (limited to 'benchmarks/polybench-syn/run-vericert.sh') diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh new file mode 100755 index 0000000..38b425f --- /dev/null +++ b/benchmarks/polybench-syn/run-vericert.sh @@ -0,0 +1,25 @@ +#! /bin/bash + +top=$(pwd) + #set up +while read benchmark ; do + echo "Running "$benchmark + gcc $benchmark.c -o $benchmark.o + ./$benchmark.o + cresult=$(echo $?) + echo "C output: "$cresult + ../../bin/vericert --debug-hls $benchmark.c -o $benchmark.v + iverilog -o $benchmark.iver -- $benchmark.v + ./$benchmark.iver > $benchmark.tmp + veriresult=$(tail -1 $benchmark.tmp | cut -d' ' -f2) + cycles=$(tail -4 $benchmark.tmp | head -1 | tr -s ' ' | cut -d' ' -f3) + echo "Veri output: "$veriresult + if [ $cresult -ne $veriresult ] + then + echo "FAIL" + exit 0 + else + echo "PASS" + fi + echo $cycles > $benchmark.cycle +done < benchmark-list-master -- cgit From 51de50be36b3d092212e6d8a446ec3c8c3ccfc9d Mon Sep 17 00:00:00 2001 From: Nadesh Ramanathan Date: Mon, 9 Nov 2020 22:53:34 +0000 Subject: added some checks --- benchmarks/polybench-syn/run-vericert.sh | 17 +++++++++++++++++ 1 file changed, 17 insertions(+) (limited to 'benchmarks/polybench-syn/run-vericert.sh') diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh index 38b425f..c41332d 100755 --- a/benchmarks/polybench-syn/run-vericert.sh +++ b/benchmarks/polybench-syn/run-vericert.sh @@ -14,6 +14,22 @@ while read benchmark ; do veriresult=$(tail -1 $benchmark.tmp | cut -d' ' -f2) cycles=$(tail -4 $benchmark.tmp | head -1 | tr -s ' ' | cut -d' ' -f3) echo "Veri output: "$veriresult + + #Undefined checks + if test -z $veriresult + then + echo "FAIL" + exit 0 + fi + + # Don't care checks + if [ $veriresult == "x" ] + then + echo "FAIL" + exit 0 + fi + + # unequal result check if [ $cresult -ne $veriresult ] then echo "FAIL" @@ -21,5 +37,6 @@ while read benchmark ; do else echo "PASS" fi + echo $cycles > $benchmark.cycle done < benchmark-list-master -- cgit From 5c148a980d0963139b2f21a848e01e6fa59cac45 Mon Sep 17 00:00:00 2001 From: Nadesh Ramanathan Date: Mon, 9 Nov 2020 23:02:31 +0000 Subject: error messaging --- benchmarks/polybench-syn/run-vericert.sh | 12 ++++++------ 1 file changed, 6 insertions(+), 6 deletions(-) (limited to 'benchmarks/polybench-syn/run-vericert.sh') diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh index c41332d..2086279 100755 --- a/benchmarks/polybench-syn/run-vericert.sh +++ b/benchmarks/polybench-syn/run-vericert.sh @@ -18,22 +18,22 @@ while read benchmark ; do #Undefined checks if test -z $veriresult then - echo "FAIL" - exit 0 + echo "FAIL: Verilog returned nothing" + #exit 0 fi # Don't care checks if [ $veriresult == "x" ] then - echo "FAIL" - exit 0 + echo "FAIL: Verilog returned don't cares" + #exit 0 fi # unequal result check if [ $cresult -ne $veriresult ] then - echo "FAIL" - exit 0 + echo "FAIL: Verilog and C output do not match!" + #exit 0 else echo "PASS" fi -- cgit From 3c3413c288a8ab211c4793aaf3f9c3e6f4063aec Mon Sep 17 00:00:00 2001 From: Nadesh Ramanathan Date: Tue, 10 Nov 2020 09:41:09 +0000 Subject: adding flag --- benchmarks/polybench-syn/run-vericert.sh | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'benchmarks/polybench-syn/run-vericert.sh') diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh index 2086279..3e94427 100755 --- a/benchmarks/polybench-syn/run-vericert.sh +++ b/benchmarks/polybench-syn/run-vericert.sh @@ -8,7 +8,7 @@ while read benchmark ; do ./$benchmark.o cresult=$(echo $?) echo "C output: "$cresult - ../../bin/vericert --debug-hls $benchmark.c -o $benchmark.v + ../../bin/vericert -O0 -finline --debug-hls $benchmark.c -o $benchmark.v iverilog -o $benchmark.iver -- $benchmark.v ./$benchmark.iver > $benchmark.tmp veriresult=$(tail -1 $benchmark.tmp | cut -d' ' -f2) -- cgit From 95861dbef966e2cb612b303615681fc29c3acd3d Mon Sep 17 00:00:00 2001 From: Nadesh Ramanathan Date: Wed, 11 Nov 2020 19:47:42 +0000 Subject: polybench edits --- benchmarks/polybench-syn/run-vericert.sh | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'benchmarks/polybench-syn/run-vericert.sh') diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh index 3e94427..3be6098 100755 --- a/benchmarks/polybench-syn/run-vericert.sh +++ b/benchmarks/polybench-syn/run-vericert.sh @@ -4,7 +4,7 @@ top=$(pwd) #set up while read benchmark ; do echo "Running "$benchmark - gcc $benchmark.c -o $benchmark.o + clang -Wall -Werror -fsanitize=undefined $benchmark.c -o $benchmark.o ./$benchmark.o cresult=$(echo $?) echo "C output: "$cresult @@ -37,6 +37,6 @@ while read benchmark ; do else echo "PASS" fi - - echo $cycles > $benchmark.cycle + name=$(echo $benchmark | awk -v FS="/" '{print $NF}') + echo $name","$cycles >> exec.csv done < benchmark-list-master -- cgit