From 10d614dca61aeccc9cb2bc0f2e4c77aae99e7a00 Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Sat, 19 Mar 2022 12:05:44 +0000 Subject: Delete extra data files and scripts --- benchmarks/polybench-syn-div/run-vericert.sh | 41 ---------------------------- 1 file changed, 41 deletions(-) delete mode 100755 benchmarks/polybench-syn-div/run-vericert.sh (limited to 'benchmarks/polybench-syn-div/run-vericert.sh') diff --git a/benchmarks/polybench-syn-div/run-vericert.sh b/benchmarks/polybench-syn-div/run-vericert.sh deleted file mode 100755 index 6cf4cd9..0000000 --- a/benchmarks/polybench-syn-div/run-vericert.sh +++ /dev/null @@ -1,41 +0,0 @@ -#!/usr/bin/env bash - -rm exec.csv - -top=$(pwd) - #set up -while read benchmark ; do - echo "Running "$benchmark - ./$benchmark.gcc > $benchmark.clog - cresult=$(cat $benchmark.clog | cut -d' ' -f2) - echo "C output: "$cresult - ./$benchmark.iver > $benchmark.tmp - veriresult=$(tail -1 $benchmark.tmp | cut -d' ' -f2) - cycles=$(tail -2 $benchmark.tmp | head -1 | tr -s ' ' | cut -d' ' -f2) - echo "Verilog output: "$veriresult - - #Undefined checks - if test -z $veriresult - then - echo "FAIL: Verilog returned nothing" - #exit 0 - fi - - # Don't care checks - if [ $veriresult == "x" ] - then - echo "FAIL: Verilog returned don't cares" - #exit 0 - fi - - # unequal result check - if [ $cresult -ne $veriresult ] - then - echo "FAIL: Verilog and C output do not match!" - #exit 0 - else - echo "PASS" - fi - name=$(echo $benchmark | awk -v FS="/" '{print $NF}') - echo $name","$cycles >> exec.csv -done < benchmark-list-master -- cgit