From 90a2c07c87fc3e570a5a92e34b297578e963948a Mon Sep 17 00:00:00 2001 From: Michalis Pardalos Date: Mon, 1 Mar 2021 11:43:19 +0000 Subject: Polybench runner report iverilog, vericert failure --- benchmarks/polybench-syn/run-vericert.sh | 11 ++++++++++- 1 file changed, 10 insertions(+), 1 deletion(-) (limited to 'benchmarks/polybench-syn/run-vericert.sh') diff --git a/benchmarks/polybench-syn/run-vericert.sh b/benchmarks/polybench-syn/run-vericert.sh index 6ac5cde..bf3e850 100755 --- a/benchmarks/polybench-syn/run-vericert.sh +++ b/benchmarks/polybench-syn/run-vericert.sh @@ -7,8 +7,9 @@ while read -r benchmark ; do ./"$benchmark".o > "$benchmark".clog cresult="$(cut -d' ' -f2 "$benchmark".clog)" echo "C output: $cresult" - { time ../../bin/vericert -DSYNTHESIS $@ --debug-hls "$benchmark".c -o "$benchmark".v ; } 2> "$benchmark".comp + { time ../../bin/vericert -DSYNTHESIS $@ --debug-hls "$benchmark".c -o "$benchmark".v ; vericert_result=$? ; } 2> "$benchmark".comp iverilog -o "$benchmark".iver -- "$benchmark".v + iverilog_result=$? timeout 1m ./"$benchmark".iver > "$benchmark".tmp if [ $? -eq 124 ]; then @@ -23,6 +24,14 @@ while read -r benchmark ; do if [ -n "$timeout" ]; then echo "FAIL: Verilog timed out" result="timeout" + elif [ "$vericert_result" -ne 0 ]; then + #Undefined + echo "FAIL: Vericert failed" + result="compile error" + elif [ "$iverilog_result" -ne 0 ]; then + #Undefined + echo "FAIL: iverilog failed" + result="elaboration error" elif [ -z "$veriresult" ]; then #Undefined echo "FAIL: Verilog returned nothing" -- cgit