From e323bbeab91f8f6f56b5cec1def1f5e8638736d1 Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Sat, 25 May 2019 19:59:41 +0100 Subject: Add more experiments --- experiments/config_large_yosys.toml | 33 ++++++++++++++++++++++++ experiments/config_medium_rand.toml | 50 ++++++++++++++++++++++++++++++++++++ experiments/vivado_all.toml | 51 +++++++++++++++++++++++++++++++++++++ experiments/yosys_all.toml | 39 ++++++++++++++++++++++++++++ 4 files changed, 173 insertions(+) create mode 100644 experiments/config_large_yosys.toml create mode 100644 experiments/config_medium_rand.toml create mode 100644 experiments/vivado_all.toml create mode 100644 experiments/yosys_all.toml diff --git a/experiments/config_large_yosys.toml b/experiments/config_large_yosys.toml new file mode 100644 index 0000000..c1f4837 --- /dev/null +++ b/experiments/config_large_yosys.toml @@ -0,0 +1,33 @@ +[probability] + expr.binary = 5 + expr.concatenation = 5 + expr.number = 1 + expr.rangeselect = 5 + expr.signed = 5 + expr.string = 0 + expr.ternary = 5 + expr.unary = 5 + expr.unsigned = 5 + expr.variable = 5 + moditem.assign = 2 + moditem.combinational = 0 + moditem.instantiation = 0 + moditem.sequential = 3 + statement.blocking = 0 + statement.conditional = 1 + statement.forloop = 0 + statement.nonblocking = 2 + +[property] + module.depth = 2 + module.max = 5 + size = 20 + statement.depth = 7 + sample.method = "hat" + sample.size = 10 + +[[synthesiser]] + description = "yosys" + name = "yosys" + output = "syn_yosys.v" + bin = "/home/ymh15/.local/bin" diff --git a/experiments/config_medium_rand.toml b/experiments/config_medium_rand.toml new file mode 100644 index 0000000..905b904 --- /dev/null +++ b/experiments/config_medium_rand.toml @@ -0,0 +1,50 @@ + +[info] + commit = "d14ec7f57e678fdf478d3c138fe74b03cf8f0523" + version = "0.3.0.0" + +[probability] + expr.binary = 5 + expr.concatenation = 0 + expr.number = 1 + expr.rangeselect = 0 + expr.signed = 0 + expr.string = 0 + expr.ternary = 5 + expr.unary = 5 + expr.unsigned = 5 + expr.variable = 5 + moditem.assign = 2 + moditem.combinational = 0 + moditem.instantiation = 0 + moditem.sequential = 3 + statement.blocking = 0 + statement.conditional = 1 + statement.forloop = 0 + statement.nonblocking = 2 + +[property] + module.depth = 2 + module.max = 5 + sample.method = "hat" + sample.size = 10 + size = 20 + statement.depth = 5 + +[[synthesiser]] + bin = "/home/ymh15/.local/bin" + description = "yosys" + name = "yosys" + output = "syn_yosys.v" + +[[synthesiser]] + bin = "/usr/local/Xilinx/Vivado/2018.2/bin" + description = "vivado" + name = "vivado" + output = "syn_vivado.v" + +[[synthesiser]] + bin = "/usr/local/Xilinx/ise/ISE/bin/lin64" + description = "xst" + name = "xst" + output = "syn_xst.v" diff --git a/experiments/vivado_all.toml b/experiments/vivado_all.toml new file mode 100644 index 0000000..50c5e7b --- /dev/null +++ b/experiments/vivado_all.toml @@ -0,0 +1,51 @@ +[probability] + expr.binary = 5 + expr.concatenation = 5 + expr.number = 1 + expr.rangeselect = 5 + expr.signed = 5 + expr.string = 0 + expr.ternary = 5 + expr.unary = 5 + expr.unsigned = 5 + expr.variable = 5 + moditem.assign = 2 + moditem.combinational = 0 + moditem.instantiation = 0 + moditem.sequential = 3 + statement.blocking = 0 + statement.conditional = 1 + statement.forloop = 0 + statement.nonblocking = 2 + +[property] + module.depth = 2 + module.max = 5 + size = 20 + statement.depth = 7 + sample.method = "hat" + sample.size = 10 + +[[synthesiser]] + name = "vivado" + description = "vivado_2018.2" + output = "syn_vivado_2018_2.v" + bin = "/usr/local/Xilinx/Vivado/2018.2/bin" + +[[synthesiser]] + name = "vivado" + description = "vivado_2017.4" + output = "syn_vivado_2017_4.v" + bin = "/usr/local/Xilinx/Vivado/2017.4/bin" + +[[synthesiser]] + name = "vivado" + description = "vivado_2016.2" + output = "syn_vivado_2016_2.v" + bin = "/usr/local/Xilinx/Vivado/2016.2/bin" + +[[synthesiser]] + name = "vivado" + description = "vivado_2016.1" + output = "syn_vivado_2016_1.v" + bin = "/usr/local/Xilinx/Vivado/2016.1/bin" diff --git a/experiments/yosys_all.toml b/experiments/yosys_all.toml new file mode 100644 index 0000000..2a425d1 --- /dev/null +++ b/experiments/yosys_all.toml @@ -0,0 +1,39 @@ +[probability] + expr.binary = 5 + expr.concatenation = 5 + expr.number = 1 + expr.rangeselect = 5 + expr.signed = 5 + expr.string = 0 + expr.ternary = 5 + expr.unary = 5 + expr.unsigned = 5 + expr.variable = 5 + moditem.assign = 2 + moditem.combinational = 0 + moditem.instantiation = 0 + moditem.sequential = 3 + statement.blocking = 0 + statement.conditional = 1 + statement.forloop = 0 + statement.nonblocking = 2 + +[property] + module.depth = 2 + module.max = 5 + size = 20 + statement.depth = 7 + sample.method = "hat" + sample.size = 10 + +[[synthesiser]] + name = "yosys" + description = "yosys_0.8" + output = "yosys_0_8.v" + bin = "/home/ymh15/opt/yosys0.8/bin" + +[[synthesiser]] + name = "yosys" + description = "yosys_master" + output = "yosys_master.v" + bin = "/home/ymh15/.local/bin" -- cgit