From d16507bca82b3ffe4f583ef8f4893722c9d04239 Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Thu, 8 Nov 2018 17:39:09 +0000 Subject: Rename folder to examples --- examples/simple.v | 23 +++++++++++++++++++++++ 1 file changed, 23 insertions(+) create mode 100644 examples/simple.v (limited to 'examples') diff --git a/examples/simple.v b/examples/simple.v new file mode 100644 index 0000000..5198d3d --- /dev/null +++ b/examples/simple.v @@ -0,0 +1,23 @@ +module and_comb(in1, in2, out); + input in1; + input in2; + output out; + + assign out = in1 & in2; +endmodule + +module main; + reg a, b; + wire c; + + and_comb gate(.in1(a), .in2(b), .out(c)); + + initial + begin + a = 1'b1; + b = 1'b1; + #1 + $display("%d & %d = %d", a, b, c); + $finish; + end +endmodule -- cgit