From fd2963cae60c87aa3bcf382829cb7c44e6e0c2ae Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Tue, 1 Jan 2019 14:48:54 +0100 Subject: Fix linting warnings --- src/Test/VeriFuzz/Verilog/AST.hs | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'src/Test/VeriFuzz/Verilog/AST.hs') diff --git a/src/Test/VeriFuzz/Verilog/AST.hs b/src/Test/VeriFuzz/Verilog/AST.hs index 6f6e930..515987a 100644 --- a/src/Test/VeriFuzz/Verilog/AST.hs +++ b/src/Test/VeriFuzz/Verilog/AST.hs @@ -273,7 +273,7 @@ instance Monoid VerilogSrc where traverseExpr :: Traversal' Expr Expr traverseExpr _ (Number s v) = pure $ Number s v traverseExpr _ (Id id) = pure $ Id id -traverseExpr f (Concat e) = Concat <$> (sequenceA $ f <$> e) +traverseExpr f (Concat e) = Concat <$> sequenceA (f <$> e) traverseExpr f (UnOp un e) = UnOp un <$> f e traverseExpr f (BinOp l op r) = BinOp <$> f l <*> pure op <*> f r traverseExpr f (Cond c l r) = Cond <$> f c <*> f l <*> f r -- cgit