From cccb665ebac6e916c4f961eacbe11a9af7d7ceb3 Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Thu, 29 Aug 2019 15:44:33 +1000 Subject: Change name from VeriFuzz to VeriSmith --- test/Benchmark.hs | 2 +- test/Parser.hs | 16 ++++++++-------- test/Property.hs | 35 ++++++++++++++--------------------- test/Reduce.hs | 4 ++-- test/Unit.hs | 8 ++++---- 5 files changed, 29 insertions(+), 36 deletions(-) (limited to 'test') diff --git a/test/Benchmark.hs b/test/Benchmark.hs index d0ea9cd..7d59e2d 100644 --- a/test/Benchmark.hs +++ b/test/Benchmark.hs @@ -2,7 +2,7 @@ module Main where import Control.Lens ((&), (.~)) import Criterion.Main (bench, bgroup, defaultMain, nfAppIO) -import VeriFuzz (configProperty, defaultConfig, proceduralIO, +import VeriSmith (configProperty, defaultConfig, proceduralIO, propSize, propStmntDepth) main :: IO () diff --git a/test/Parser.hs b/test/Parser.hs index d300d8a..b372bbe 100644 --- a/test/Parser.hs +++ b/test/Parser.hs @@ -17,18 +17,18 @@ module Parser where import Control.Lens -import Data.Either (either, isRight) -import Hedgehog (Gen, Property, (===)) -import qualified Hedgehog as Hog -import qualified Hedgehog.Gen as Hog +import Data.Either (either, isRight) +import Hedgehog (Gen, Property, (===)) +import qualified Hedgehog as Hog +import qualified Hedgehog.Gen as Hog import Test.Tasty import Test.Tasty.Hedgehog import Test.Tasty.HUnit import Text.Parsec -import VeriFuzz -import VeriFuzz.Internal -import VeriFuzz.Verilog.Lex -import VeriFuzz.Verilog.Parser +import VeriSmith +import VeriSmith.Internal +import VeriSmith.Verilog.Lex +import VeriSmith.Verilog.Parser smallConfig :: Config smallConfig = defaultConfig & configProperty . propSize .~ 5 diff --git a/test/Property.hs b/test/Property.hs index 4e17695..afb1d11 100644 --- a/test/Property.hs +++ b/test/Property.hs @@ -11,30 +11,23 @@ module Property ) where -import Data.Either ( either - , isRight - ) -import qualified Data.Graph.Inductive as G -import Data.Text ( Text ) -import Hedgehog ( Gen - , Property - , (===) - ) -import qualified Hedgehog as Hog -import Hedgehog.Function ( Arg - , Vary - ) -import qualified Hedgehog.Function as Hog -import qualified Hedgehog.Gen as Hog -import qualified Hedgehog.Range as Hog -import Parser ( parserTests ) +import Data.Either (either, isRight) +import qualified Data.Graph.Inductive as G +import Data.Text (Text) +import Hedgehog (Gen, Property, (===)) +import qualified Hedgehog as Hog +import Hedgehog.Function (Arg, Vary) +import qualified Hedgehog.Function as Hog +import qualified Hedgehog.Gen as Hog +import qualified Hedgehog.Range as Hog +import Parser (parserTests) import Test.Tasty import Test.Tasty.Hedgehog import Text.Parsec -import VeriFuzz -import VeriFuzz.Result -import VeriFuzz.Verilog.Lex -import VeriFuzz.Verilog.Parser +import VeriSmith +import VeriSmith.Result +import VeriSmith.Verilog.Lex +import VeriSmith.Verilog.Parser randomDAG' :: Gen Circuit randomDAG' = Hog.resize 30 randomDAG diff --git a/test/Reduce.hs b/test/Reduce.hs index 722ddea..f3ddf5c 100644 --- a/test/Reduce.hs +++ b/test/Reduce.hs @@ -20,8 +20,8 @@ where import Data.List ((\\)) import Test.Tasty import Test.Tasty.HUnit -import VeriFuzz -import VeriFuzz.Reduce +import VeriSmith +import VeriSmith.Reduce reduceUnitTests :: TestTree reduceUnitTests = testGroup diff --git a/test/Unit.hs b/test/Unit.hs index aaffe09..f9283be 100644 --- a/test/Unit.hs +++ b/test/Unit.hs @@ -4,12 +4,12 @@ module Unit where import Control.Lens -import Data.List.NonEmpty ( NonEmpty(..) ) -import Parser ( parseUnitTests ) -import Reduce ( reduceUnitTests ) +import Data.List.NonEmpty (NonEmpty (..)) +import Parser (parseUnitTests) +import Reduce (reduceUnitTests) import Test.Tasty import Test.Tasty.HUnit -import VeriFuzz +import VeriSmith unitTests :: TestTree unitTests = testGroup -- cgit From 8d96fd2a541a2602544ced741552ebd17714c67d Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Wed, 18 Sep 2019 19:06:32 +0200 Subject: Rename main modules --- test/Benchmark.hs | 2 +- test/Parser.hs | 8 ++++---- test/Property.hs | 8 ++++---- test/Reduce.hs | 4 ++-- test/Unit.hs | 2 +- 5 files changed, 12 insertions(+), 12 deletions(-) (limited to 'test') diff --git a/test/Benchmark.hs b/test/Benchmark.hs index 7d59e2d..9c81049 100644 --- a/test/Benchmark.hs +++ b/test/Benchmark.hs @@ -2,7 +2,7 @@ module Main where import Control.Lens ((&), (.~)) import Criterion.Main (bench, bgroup, defaultMain, nfAppIO) -import VeriSmith (configProperty, defaultConfig, proceduralIO, +import Verismith (configProperty, defaultConfig, proceduralIO, propSize, propStmntDepth) main :: IO () diff --git a/test/Parser.hs b/test/Parser.hs index b372bbe..959c09b 100644 --- a/test/Parser.hs +++ b/test/Parser.hs @@ -25,10 +25,10 @@ import Test.Tasty import Test.Tasty.Hedgehog import Test.Tasty.HUnit import Text.Parsec -import VeriSmith -import VeriSmith.Internal -import VeriSmith.Verilog.Lex -import VeriSmith.Verilog.Parser +import Verismith +import Verismith.Internal +import Verismith.Verilog.Lex +import Verismith.Verilog.Parser smallConfig :: Config smallConfig = defaultConfig & configProperty . propSize .~ 5 diff --git a/test/Property.hs b/test/Property.hs index afb1d11..bec740c 100644 --- a/test/Property.hs +++ b/test/Property.hs @@ -24,10 +24,10 @@ import Parser (parserTests) import Test.Tasty import Test.Tasty.Hedgehog import Text.Parsec -import VeriSmith -import VeriSmith.Result -import VeriSmith.Verilog.Lex -import VeriSmith.Verilog.Parser +import Verismith +import Verismith.Result +import Verismith.Verilog.Lex +import Verismith.Verilog.Parser randomDAG' :: Gen Circuit randomDAG' = Hog.resize 30 randomDAG diff --git a/test/Reduce.hs b/test/Reduce.hs index f3ddf5c..fcc10aa 100644 --- a/test/Reduce.hs +++ b/test/Reduce.hs @@ -20,8 +20,8 @@ where import Data.List ((\\)) import Test.Tasty import Test.Tasty.HUnit -import VeriSmith -import VeriSmith.Reduce +import Verismith +import Verismith.Reduce reduceUnitTests :: TestTree reduceUnitTests = testGroup diff --git a/test/Unit.hs b/test/Unit.hs index f9283be..f761c68 100644 --- a/test/Unit.hs +++ b/test/Unit.hs @@ -9,7 +9,7 @@ import Parser (parseUnitTests) import Reduce (reduceUnitTests) import Test.Tasty import Test.Tasty.HUnit -import VeriSmith +import Verismith unitTests :: TestTree unitTests = testGroup -- cgit From 5815e527f7e3b65078e2ad19df3538bb701ec7ac Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Sun, 6 Oct 2019 22:40:06 +0100 Subject: [Fix #63] Make build pass again --- test/Property.hs | 26 -------------------------- 1 file changed, 26 deletions(-) (limited to 'test') diff --git a/test/Property.hs b/test/Property.hs index bec740c..a57f92c 100644 --- a/test/Property.hs +++ b/test/Property.hs @@ -16,8 +16,6 @@ import qualified Data.Graph.Inductive as G import Data.Text (Text) import Hedgehog (Gen, Property, (===)) import qualified Hedgehog as Hog -import Hedgehog.Function (Arg, Vary) -import qualified Hedgehog.Function as Hog import qualified Hedgehog.Gen as Hog import qualified Hedgehog.Range as Hog import Parser (parserTests) @@ -45,30 +43,6 @@ acyclicGraph = Hog.property $ do . getCircuit $ g -type GenFunctor f a b c = - ( Functor f - , Show (f a) - , Show a, Arg a, Vary a - , Show b, Arg b, Vary b - , Show c - , Eq (f c) - , Show (f c) - ) - -mapCompose - :: forall f a b c - . GenFunctor f a b c - => (forall x . Gen x -> Gen (f x)) - -> Gen a - -> Gen b - -> Gen c - -> Property -mapCompose genF genA genB genC = Hog.property $ do - g <- Hog.forAllFn $ Hog.fn @a genB - f <- Hog.forAllFn $ Hog.fn @b genC - xs <- Hog.forAll $ genF genA - fmap (f . g) xs === fmap f (fmap g xs) - propertyResultInterrupted :: Property propertyResultInterrupted = do mapCompose genResult -- cgit From 09fde96bb166b0e6b428aff9034b14f9b31f24eb Mon Sep 17 00:00:00 2001 From: Yann Herklotz Date: Sun, 6 Oct 2019 22:41:34 +0100 Subject: Test cases pass again --- test/Property.hs | 12 ------------ 1 file changed, 12 deletions(-) (limited to 'test') diff --git a/test/Property.hs b/test/Property.hs index a57f92c..7e1911e 100644 --- a/test/Property.hs +++ b/test/Property.hs @@ -43,21 +43,9 @@ acyclicGraph = Hog.property $ do . getCircuit $ g -propertyResultInterrupted :: Property -propertyResultInterrupted = do - mapCompose genResult - (Hog.int (Hog.linear 0 100)) - (Hog.int (Hog.linear 0 100)) - (Hog.int (Hog.linear 0 100)) - where - genResult :: Gen a -> Gen (Result Text a) - genResult a = Hog.choice - [Pass <$> a, Fail <$> Hog.text (Hog.linear 1 100) Hog.unicode] - propertyTests :: TestTree propertyTests = testGroup "Property Tests" [ testProperty "acyclic graph generation check" acyclicGraph - , testProperty "fmap for Result" propertyResultInterrupted , parserTests ] -- cgit