/* WARNING: Do NOT edit the input and output ports in this file in a text editor if you plan to continue editing the block that represents it in the Block Editor! File corruption is VERY likely to occur. */ /* Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. */ (header "graphic" (version "1.4")) (pin (input) (rect 232 176 400 192) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) (text "X[4..0]" (rect 5 0 36 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 176 192 232 208)) ) (pin (input) (rect 232 144 400 160) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) (text "Y[4..0]" (rect 5 0 39 12)(font "Arial" )) (pt 168 8) (drawing (line (pt 84 12)(pt 109 12)) (line (pt 84 4)(pt 109 4)) (line (pt 113 8)(pt 168 8)) (line (pt 84 12)(pt 84 4)) (line (pt 109 4)(pt 113 8)) (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 184 160 232 176)) ) (pin (output) (rect 320 208 496 224) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "Output[9..0]" (rect 90 0 149 12)(font "Arial" )) (pt 0 8) (drawing (line (pt 0 8)(pt 52 8)) (line (pt 52 4)(pt 78 4)) (line (pt 52 12)(pt 78 12)) (line (pt 52 12)(pt 52 4)) (line (pt 78 4)(pt 82 8)) (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) (annotation_block (location)(rect 496 224 552 240)) ) (symbol (rect 592 288 656 336) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst5" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 520 304 584 352) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst6" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 448 320 512 368) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst7" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 376 336 440 384) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst8" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 304 352 368 400) (text "AND2" (rect 1 0 25 10)(font "Arial" (font_size 6))) (text "inst9" (rect 3 37 26 49)(font "Arial" )) (port (pt 0 16) (input) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible)) (line (pt 0 16)(pt 14 16)) ) (port (pt 0 32) (input) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible)) (line (pt 0 32)(pt 14 32)) ) (port (pt 64 24) (output) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible)) (line (pt 42 24)(pt 64 24)) ) (drawing (line (pt 14 12)(pt 30 12)) (line (pt 14 37)(pt 31 37)) (line (pt 14 12)(pt 14 37)) (arc (pt 31 37)(pt 30 12)(rect 18 12 43 37)) ) ) (symbol (rect 904 640 936 672) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst21" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 1144 632 1176 664) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst22" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 1384 616 1416 648) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) (text "inst23" (rect 3 21 32 33)(font "Arial" )(invisible)) (port (pt 16 0) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (line (pt 16 8)(pt 16 0)) ) (drawing (line (pt 8 8)(pt 16 16)) (line (pt 16 16)(pt 24 8)) (line (pt 8 8)(pt 24 8)) ) ) (symbol (rect 1672 392 1704 424) (text "GND" (rect 16 3 26 24)(font "Arial" (font_size 6))(vertical)) (text "inst24" (rect 21 0 33 29)(font "Arial" )(vertical)(invisible)) (port (pt 0 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 0 9 12 14)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 8 16)(pt 0 16)) ) (drawing (line (pt 8 24)(pt 16 16)) (line (pt 16 16)(pt 8 8)) (line (pt 8 24)(pt 8 8)) ) (rotate90) ) (symbol (rect 816 264 912 648) (text "ten_bit_adder_NO_BUS" (rect 5 0 139 14)(font "Arial" (font_size 8))) (text "inst" (rect 8 368 25 380)(font "Arial" )) (port (pt 0 32) (input) (text "ENY" (rect 0 0 24 14)(font "Arial" (font_size 8))) (text "ENY" (rect 21 27 45 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "X0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X0" (rect 21 43 36 57)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "X1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X1" (rect 21 59 36 73)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "X2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X2" (rect 21 75 36 89)(font "Arial" (font_size 8))) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "X3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X3" (rect 21 91 36 105)(font "Arial" (font_size 8))) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "X4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X4" (rect 21 107 36 121)(font "Arial" (font_size 8))) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "X5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X5" (rect 21 123 36 137)(font "Arial" (font_size 8))) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "X6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X6" (rect 21 139 36 153)(font "Arial" (font_size 8))) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "X7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X7" (rect 21 155 36 169)(font "Arial" (font_size 8))) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "X8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X8" (rect 21 171 36 185)(font "Arial" (font_size 8))) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "X9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X9" (rect 21 187 36 201)(font "Arial" (font_size 8))) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y0" (rect 21 203 37 217)(font "Arial" (font_size 8))) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y1" (rect 21 219 37 233)(font "Arial" (font_size 8))) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y2" (rect 21 235 37 249)(font "Arial" (font_size 8))) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y3" (rect 21 251 37 265)(font "Arial" (font_size 8))) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y4" (rect 21 267 37 281)(font "Arial" (font_size 8))) (line (pt 0 272)(pt 16 272)) ) (port (pt 0 288) (input) (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y5" (rect 21 283 37 297)(font "Arial" (font_size 8))) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y6" (rect 21 299 37 313)(font "Arial" (font_size 8))) (line (pt 0 304)(pt 16 304)) ) (port (pt 0 320) (input) (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y7" (rect 21 315 37 329)(font "Arial" (font_size 8))) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "Y8" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y8" (rect 21 331 37 345)(font "Arial" (font_size 8))) (line (pt 0 336)(pt 16 336)) ) (port (pt 0 352) (input) (text "Y9" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y9" (rect 21 347 37 361)(font "Arial" (font_size 8))) (line (pt 0 352)(pt 16 352)) ) (port (pt 96 32) (output) (text "S0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S0" (rect 60 27 75 41)(font "Arial" (font_size 8))) (line (pt 96 32)(pt 80 32)) ) (port (pt 96 48) (output) (text "S1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S1" (rect 60 43 75 57)(font "Arial" (font_size 8))) (line (pt 96 48)(pt 80 48)) ) (port (pt 96 64) (output) (text "S2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S2" (rect 60 59 75 73)(font "Arial" (font_size 8))) (line (pt 96 64)(pt 80 64)) ) (port (pt 96 80) (output) (text "S3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S3" (rect 60 75 75 89)(font "Arial" (font_size 8))) (line (pt 96 80)(pt 80 80)) ) (port (pt 96 96) (output) (text "S4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S4" (rect 60 91 75 105)(font "Arial" (font_size 8))) (line (pt 96 96)(pt 80 96)) ) (port (pt 96 112) (output) (text "S5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S5" (rect 60 107 75 121)(font "Arial" (font_size 8))) (line (pt 96 112)(pt 80 112)) ) (port (pt 96 128) (output) (text "S6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S6" (rect 60 123 75 137)(font "Arial" (font_size 8))) (line (pt 96 128)(pt 80 128)) ) (port (pt 96 144) (output) (text "S7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S7" (rect 60 139 75 153)(font "Arial" (font_size 8))) (line (pt 96 144)(pt 80 144)) ) (port (pt 96 160) (output) (text "S8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S8" (rect 60 155 75 169)(font "Arial" (font_size 8))) (line (pt 96 160)(pt 80 160)) ) (port (pt 96 176) (output) (text "S9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S9" (rect 60 171 75 185)(font "Arial" (font_size 8))) (line (pt 96 176)(pt 80 176)) ) (port (pt 96 192) (output) (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8))) (text "Cout" (rect 50 187 75 201)(font "Arial" (font_size 8))) (line (pt 96 192)(pt 80 192)) ) (drawing (rectangle (rect 16 16 80 368)) ) ) (symbol (rect 1056 248 1152 632) (text "ten_bit_adder_NO_BUS" (rect 5 0 139 14)(font "Arial" (font_size 8))) (text "inst1" (rect 8 368 31 380)(font "Arial" )) (port (pt 0 32) (input) (text "ENY" (rect 0 0 24 14)(font "Arial" (font_size 8))) (text "ENY" (rect 21 27 45 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "X0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X0" (rect 21 43 36 57)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "X1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X1" (rect 21 59 36 73)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "X2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X2" (rect 21 75 36 89)(font "Arial" (font_size 8))) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "X3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X3" (rect 21 91 36 105)(font "Arial" (font_size 8))) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "X4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X4" (rect 21 107 36 121)(font "Arial" (font_size 8))) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "X5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X5" (rect 21 123 36 137)(font "Arial" (font_size 8))) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "X6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X6" (rect 21 139 36 153)(font "Arial" (font_size 8))) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "X7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X7" (rect 21 155 36 169)(font "Arial" (font_size 8))) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "X8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X8" (rect 21 171 36 185)(font "Arial" (font_size 8))) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "X9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X9" (rect 21 187 36 201)(font "Arial" (font_size 8))) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y0" (rect 21 203 37 217)(font "Arial" (font_size 8))) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y1" (rect 21 219 37 233)(font "Arial" (font_size 8))) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y2" (rect 21 235 37 249)(font "Arial" (font_size 8))) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y3" (rect 21 251 37 265)(font "Arial" (font_size 8))) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y4" (rect 21 267 37 281)(font "Arial" (font_size 8))) (line (pt 0 272)(pt 16 272)) ) (port (pt 0 288) (input) (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y5" (rect 21 283 37 297)(font "Arial" (font_size 8))) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y6" (rect 21 299 37 313)(font "Arial" (font_size 8))) (line (pt 0 304)(pt 16 304)) ) (port (pt 0 320) (input) (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y7" (rect 21 315 37 329)(font "Arial" (font_size 8))) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "Y8" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y8" (rect 21 331 37 345)(font "Arial" (font_size 8))) (line (pt 0 336)(pt 16 336)) ) (port (pt 0 352) (input) (text "Y9" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y9" (rect 21 347 37 361)(font "Arial" (font_size 8))) (line (pt 0 352)(pt 16 352)) ) (port (pt 96 32) (output) (text "S0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S0" (rect 60 27 75 41)(font "Arial" (font_size 8))) (line (pt 96 32)(pt 80 32)) ) (port (pt 96 48) (output) (text "S1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S1" (rect 60 43 75 57)(font "Arial" (font_size 8))) (line (pt 96 48)(pt 80 48)) ) (port (pt 96 64) (output) (text "S2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S2" (rect 60 59 75 73)(font "Arial" (font_size 8))) (line (pt 96 64)(pt 80 64)) ) (port (pt 96 80) (output) (text "S3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S3" (rect 60 75 75 89)(font "Arial" (font_size 8))) (line (pt 96 80)(pt 80 80)) ) (port (pt 96 96) (output) (text "S4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S4" (rect 60 91 75 105)(font "Arial" (font_size 8))) (line (pt 96 96)(pt 80 96)) ) (port (pt 96 112) (output) (text "S5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S5" (rect 60 107 75 121)(font "Arial" (font_size 8))) (line (pt 96 112)(pt 80 112)) ) (port (pt 96 128) (output) (text "S6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S6" (rect 60 123 75 137)(font "Arial" (font_size 8))) (line (pt 96 128)(pt 80 128)) ) (port (pt 96 144) (output) (text "S7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S7" (rect 60 139 75 153)(font "Arial" (font_size 8))) (line (pt 96 144)(pt 80 144)) ) (port (pt 96 160) (output) (text "S8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S8" (rect 60 155 75 169)(font "Arial" (font_size 8))) (line (pt 96 160)(pt 80 160)) ) (port (pt 96 176) (output) (text "S9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S9" (rect 60 171 75 185)(font "Arial" (font_size 8))) (line (pt 96 176)(pt 80 176)) ) (port (pt 96 192) (output) (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8))) (text "Cout" (rect 50 187 75 201)(font "Arial" (font_size 8))) (line (pt 96 192)(pt 80 192)) ) (drawing (rectangle (rect 16 16 80 368)) ) ) (symbol (rect 1296 232 1392 616) (text "ten_bit_adder_NO_BUS" (rect 5 0 139 14)(font "Arial" (font_size 8))) (text "inst2" (rect 8 368 31 380)(font "Arial" )) (port (pt 0 32) (input) (text "ENY" (rect 0 0 24 14)(font "Arial" (font_size 8))) (text "ENY" (rect 21 27 45 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "X0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X0" (rect 21 43 36 57)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "X1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X1" (rect 21 59 36 73)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "X2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X2" (rect 21 75 36 89)(font "Arial" (font_size 8))) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "X3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X3" (rect 21 91 36 105)(font "Arial" (font_size 8))) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "X4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X4" (rect 21 107 36 121)(font "Arial" (font_size 8))) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "X5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X5" (rect 21 123 36 137)(font "Arial" (font_size 8))) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "X6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X6" (rect 21 139 36 153)(font "Arial" (font_size 8))) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "X7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X7" (rect 21 155 36 169)(font "Arial" (font_size 8))) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "X8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X8" (rect 21 171 36 185)(font "Arial" (font_size 8))) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "X9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X9" (rect 21 187 36 201)(font "Arial" (font_size 8))) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y0" (rect 21 203 37 217)(font "Arial" (font_size 8))) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y1" (rect 21 219 37 233)(font "Arial" (font_size 8))) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y2" (rect 21 235 37 249)(font "Arial" (font_size 8))) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y3" (rect 21 251 37 265)(font "Arial" (font_size 8))) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y4" (rect 21 267 37 281)(font "Arial" (font_size 8))) (line (pt 0 272)(pt 16 272)) ) (port (pt 0 288) (input) (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y5" (rect 21 283 37 297)(font "Arial" (font_size 8))) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y6" (rect 21 299 37 313)(font "Arial" (font_size 8))) (line (pt 0 304)(pt 16 304)) ) (port (pt 0 320) (input) (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y7" (rect 21 315 37 329)(font "Arial" (font_size 8))) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "Y8" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y8" (rect 21 331 37 345)(font "Arial" (font_size 8))) (line (pt 0 336)(pt 16 336)) ) (port (pt 0 352) (input) (text "Y9" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y9" (rect 21 347 37 361)(font "Arial" (font_size 8))) (line (pt 0 352)(pt 16 352)) ) (port (pt 96 32) (output) (text "S0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S0" (rect 60 27 75 41)(font "Arial" (font_size 8))) (line (pt 96 32)(pt 80 32)) ) (port (pt 96 48) (output) (text "S1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S1" (rect 60 43 75 57)(font "Arial" (font_size 8))) (line (pt 96 48)(pt 80 48)) ) (port (pt 96 64) (output) (text "S2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S2" (rect 60 59 75 73)(font "Arial" (font_size 8))) (line (pt 96 64)(pt 80 64)) ) (port (pt 96 80) (output) (text "S3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S3" (rect 60 75 75 89)(font "Arial" (font_size 8))) (line (pt 96 80)(pt 80 80)) ) (port (pt 96 96) (output) (text "S4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S4" (rect 60 91 75 105)(font "Arial" (font_size 8))) (line (pt 96 96)(pt 80 96)) ) (port (pt 96 112) (output) (text "S5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S5" (rect 60 107 75 121)(font "Arial" (font_size 8))) (line (pt 96 112)(pt 80 112)) ) (port (pt 96 128) (output) (text "S6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S6" (rect 60 123 75 137)(font "Arial" (font_size 8))) (line (pt 96 128)(pt 80 128)) ) (port (pt 96 144) (output) (text "S7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S7" (rect 60 139 75 153)(font "Arial" (font_size 8))) (line (pt 96 144)(pt 80 144)) ) (port (pt 96 160) (output) (text "S8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S8" (rect 60 155 75 169)(font "Arial" (font_size 8))) (line (pt 96 160)(pt 80 160)) ) (port (pt 96 176) (output) (text "S9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S9" (rect 60 171 75 185)(font "Arial" (font_size 8))) (line (pt 96 176)(pt 80 176)) ) (port (pt 96 192) (output) (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8))) (text "Cout" (rect 50 187 75 201)(font "Arial" (font_size 8))) (line (pt 96 192)(pt 80 192)) ) (drawing (rectangle (rect 16 16 80 368)) ) ) (symbol (rect 1536 216 1632 600) (text "ten_bit_adder_NO_BUS" (rect 5 0 139 14)(font "Arial" (font_size 8))) (text "inst3" (rect 8 368 31 380)(font "Arial" )) (port (pt 0 32) (input) (text "ENY" (rect 0 0 24 14)(font "Arial" (font_size 8))) (text "ENY" (rect 21 27 45 41)(font "Arial" (font_size 8))) (line (pt 0 32)(pt 16 32)) ) (port (pt 0 48) (input) (text "X0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X0" (rect 21 43 36 57)(font "Arial" (font_size 8))) (line (pt 0 48)(pt 16 48)) ) (port (pt 0 64) (input) (text "X1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X1" (rect 21 59 36 73)(font "Arial" (font_size 8))) (line (pt 0 64)(pt 16 64)) ) (port (pt 0 80) (input) (text "X2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X2" (rect 21 75 36 89)(font "Arial" (font_size 8))) (line (pt 0 80)(pt 16 80)) ) (port (pt 0 96) (input) (text "X3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X3" (rect 21 91 36 105)(font "Arial" (font_size 8))) (line (pt 0 96)(pt 16 96)) ) (port (pt 0 112) (input) (text "X4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X4" (rect 21 107 36 121)(font "Arial" (font_size 8))) (line (pt 0 112)(pt 16 112)) ) (port (pt 0 128) (input) (text "X5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X5" (rect 21 123 36 137)(font "Arial" (font_size 8))) (line (pt 0 128)(pt 16 128)) ) (port (pt 0 144) (input) (text "X6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X6" (rect 21 139 36 153)(font "Arial" (font_size 8))) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) (text "X7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X7" (rect 21 155 36 169)(font "Arial" (font_size 8))) (line (pt 0 160)(pt 16 160)) ) (port (pt 0 176) (input) (text "X8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X8" (rect 21 171 36 185)(font "Arial" (font_size 8))) (line (pt 0 176)(pt 16 176)) ) (port (pt 0 192) (input) (text "X9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "X9" (rect 21 187 36 201)(font "Arial" (font_size 8))) (line (pt 0 192)(pt 16 192)) ) (port (pt 0 208) (input) (text "Y0" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y0" (rect 21 203 37 217)(font "Arial" (font_size 8))) (line (pt 0 208)(pt 16 208)) ) (port (pt 0 224) (input) (text "Y1" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y1" (rect 21 219 37 233)(font "Arial" (font_size 8))) (line (pt 0 224)(pt 16 224)) ) (port (pt 0 240) (input) (text "Y2" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y2" (rect 21 235 37 249)(font "Arial" (font_size 8))) (line (pt 0 240)(pt 16 240)) ) (port (pt 0 256) (input) (text "Y3" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y3" (rect 21 251 37 265)(font "Arial" (font_size 8))) (line (pt 0 256)(pt 16 256)) ) (port (pt 0 272) (input) (text "Y4" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y4" (rect 21 267 37 281)(font "Arial" (font_size 8))) (line (pt 0 272)(pt 16 272)) ) (port (pt 0 288) (input) (text "Y5" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y5" (rect 21 283 37 297)(font "Arial" (font_size 8))) (line (pt 0 288)(pt 16 288)) ) (port (pt 0 304) (input) (text "Y6" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y6" (rect 21 299 37 313)(font "Arial" (font_size 8))) (line (pt 0 304)(pt 16 304)) ) (port (pt 0 320) (input) (text "Y7" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y7" (rect 21 315 37 329)(font "Arial" (font_size 8))) (line (pt 0 320)(pt 16 320)) ) (port (pt 0 336) (input) (text "Y8" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y8" (rect 21 331 37 345)(font "Arial" (font_size 8))) (line (pt 0 336)(pt 16 336)) ) (port (pt 0 352) (input) (text "Y9" (rect 0 0 16 14)(font "Arial" (font_size 8))) (text "Y9" (rect 21 347 37 361)(font "Arial" (font_size 8))) (line (pt 0 352)(pt 16 352)) ) (port (pt 96 32) (output) (text "S0" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S0" (rect 60 27 75 41)(font "Arial" (font_size 8))) (line (pt 96 32)(pt 80 32)) ) (port (pt 96 48) (output) (text "S1" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S1" (rect 60 43 75 57)(font "Arial" (font_size 8))) (line (pt 96 48)(pt 80 48)) ) (port (pt 96 64) (output) (text "S2" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S2" (rect 60 59 75 73)(font "Arial" (font_size 8))) (line (pt 96 64)(pt 80 64)) ) (port (pt 96 80) (output) (text "S3" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S3" (rect 60 75 75 89)(font "Arial" (font_size 8))) (line (pt 96 80)(pt 80 80)) ) (port (pt 96 96) (output) (text "S4" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S4" (rect 60 91 75 105)(font "Arial" (font_size 8))) (line (pt 96 96)(pt 80 96)) ) (port (pt 96 112) (output) (text "S5" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S5" (rect 60 107 75 121)(font "Arial" (font_size 8))) (line (pt 96 112)(pt 80 112)) ) (port (pt 96 128) (output) (text "S6" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S6" (rect 60 123 75 137)(font "Arial" (font_size 8))) (line (pt 96 128)(pt 80 128)) ) (port (pt 96 144) (output) (text "S7" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S7" (rect 60 139 75 153)(font "Arial" (font_size 8))) (line (pt 96 144)(pt 80 144)) ) (port (pt 96 160) (output) (text "S8" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S8" (rect 60 155 75 169)(font "Arial" (font_size 8))) (line (pt 96 160)(pt 80 160)) ) (port (pt 96 176) (output) (text "S9" (rect 0 0 15 14)(font "Arial" (font_size 8))) (text "S9" (rect 60 171 75 185)(font "Arial" (font_size 8))) (line (pt 96 176)(pt 80 176)) ) (port (pt 96 192) (output) (text "Cout" (rect 0 0 25 14)(font "Arial" (font_size 8))) (text "Cout" (rect 50 187 75 201)(font "Arial" (font_size 8))) (line (pt 96 192)(pt 80 192)) ) (drawing (rectangle (rect 16 16 80 368)) ) ) (symbol (rect 768 576 800 608) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst28" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 744 456 776 488) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst29" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 760 408 792 440) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst26" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 1016 440 1048 472) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst20" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 1016 568 1048 600) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst25" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 1256 424 1288 456) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst16" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 1256 552 1288 584) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst17" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 1488 432 1520 464) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst18" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (symbol (rect 1496 552 1528 584) (text "GND" (rect 6 8 16 29)(font "Arial" (font_size 6))(vertical)) (text "inst19" (rect -1 3 11 32)(font "Arial" )(vertical)(invisible)) (port (pt 32 16) (output) (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible)) (text "1" (rect 20 18 32 23)(font "Courier New" (bold))(vertical)(invisible)) (line (pt 24 16)(pt 32 16)) ) (drawing (line (pt 24 8)(pt 16 16)) (line (pt 16 16)(pt 24 24)) (line (pt 24 8)(pt 24 24)) ) (rotate270) ) (connector (pt 448 448) (pt 448 352) ) (connector (text "X[2]" (rect 421 320 439 332)(font "Arial" )) (pt 448 336) (pt 424 336) ) (connector (text "M[9..0]" (rect 269 200 303 212)(font "Arial" )) (pt 320 216) (pt 304 216) (bus) ) (connector (text "X[4..0]" (rect 414 168 445 180)(font "Arial" )) (pt 400 184) (pt 424 184) (bus) ) (connector (text "Y[4..0]" (rect 418 136 452 148)(font "Arial" )) (pt 400 152) (pt 424 152) (bus) ) (connector (pt 808 584) (pt 816 584) ) (connector (pt 808 600) (pt 816 600) ) (connector (pt 808 616) (pt 816 616) ) (connector (pt 808 568) (pt 816 568) ) (connector (text "Y[1]" (rect 787 280 808 292)(font "Arial" )) (pt 816 296) (pt 787 296) ) (connector (pt 800 592) (pt 808 592) ) (connector (text "X[0]" (rect 778 472 796 484)(font "Arial" )) (pt 779 488) (pt 816 488) ) (connector (text "X[1]" (rect 776 488 794 500)(font "Arial" )) (pt 779 504) (pt 816 504) ) (connector (text "X[2]" (rect 781 504 799 516)(font "Arial" )) (pt 779 520) (pt 816 520) ) (connector (text "X[3]" (rect 777 520 795 532)(font "Arial" )) (pt 779 536) (pt 816 536) ) (connector (text "X[4]" (rect 779 536 797 548)(font "Arial" )) (pt 779 552) (pt 816 552) ) (connector (pt 808 568) (pt 808 584) ) (connector (pt 808 584) (pt 808 592) ) (connector (pt 808 592) (pt 808 600) ) (connector (pt 808 600) (pt 808 616) ) (connector (pt 816 408) (pt 792 408) ) (connector (pt 816 424) (pt 792 424) ) (connector (pt 792 392) (pt 816 392) ) (connector (pt 816 440) (pt 792 440) ) (connector (pt 816 456) (pt 792 456) ) (connector (pt 792 392) (pt 792 408) ) (connector (pt 792 408) (pt 792 424) ) (connector (pt 792 424) (pt 792 440) ) (connector (pt 792 440) (pt 792 456) ) (connector (pt 512 344) (pt 816 344) ) (connector (pt 520 448) (pt 520 336) ) (connector (text "X[1]" (rect 495 304 513 316)(font "Arial" )) (pt 520 320) (pt 496 320) ) (connector (pt 584 328) (pt 816 328) ) (connector (pt 592 448) (pt 592 320) ) (connector (text "X[0]" (rect 570 288 588 300)(font "Arial" )) (pt 592 304) (pt 568 304) ) (connector (pt 656 312) (pt 816 312) ) (connector (pt 448 448) (pt 520 448) ) (connector (pt 520 448) (pt 592 448) ) (connector (text "X[3]" (rect 354 336 372 348)(font "Arial" )) (pt 376 352) (pt 352 352) ) (connector (pt 376 448) (pt 448 448) ) (connector (pt 440 360) (pt 816 360) ) (connector (pt 376 368) (pt 376 448) ) (connector (pt 280 384) (pt 304 384) ) (connector (pt 280 448) (pt 280 384) ) (connector (text "X[4]" (rect 278 352 296 364)(font "Arial" )) (pt 304 368) (pt 280 368) ) (connector (text "Y[0]" (rect 250 432 271 444)(font "Arial" )) (pt 248 448) (pt 280 448) ) (connector (pt 280 448) (pt 376 448) ) (connector (pt 368 376) (pt 816 376) ) (connector (text "Y[2]" (rect 1027 264 1048 276)(font "Arial" )) (pt 1027 280) (pt 1056 280) ) (connector (text "X[4]" (rect 1035 536 1053 548)(font "Arial" )) (pt 1056 552) (pt 1024 552) ) (connector (text "X[0]" (rect 1024 472 1042 484)(font "Arial" )) (pt 1027 488) (pt 1056 488) ) (connector (text "X[1]" (rect 1029 488 1047 500)(font "Arial" )) (pt 1027 504) (pt 1056 504) ) (connector (text "X[2]" (rect 1025 504 1043 516)(font "Arial" )) (pt 1027 520) (pt 1056 520) ) (connector (text "X[3]" (rect 1027 520 1045 532)(font "Arial" )) (pt 1027 536) (pt 1056 536) ) (connector (pt 1056 456) (pt 1048 456) ) (connector (pt 1056 472) (pt 1056 456) ) (connector (pt 912 296) (pt 1056 296) ) (connector (pt 912 312) (pt 1056 312) ) (connector (pt 912 328) (pt 1056 328) ) (connector (pt 912 344) (pt 1056 344) ) (connector (pt 912 360) (pt 1056 360) ) (connector (pt 912 376) (pt 1056 376) ) (connector (pt 912 392) (pt 1056 392) ) (connector (pt 912 408) (pt 1056 408) ) (connector (pt 912 424) (pt 1056 424) ) (connector (pt 912 440) (pt 1056 440) ) (connector (pt 1296 568) (pt 1288 568) ) (connector (pt 1296 440) (pt 1288 440) ) (connector (pt 1296 568) (pt 1296 584) ) (connector (text "X[4]" (rect 1272 536 1290 548)(font "Arial" )) (pt 1296 552) (pt 1264 552) ) (connector (text "X[0]" (rect 1269 472 1287 484)(font "Arial" )) (pt 1267 488) (pt 1296 488) ) (connector (text "X[1]" (rect 1265 488 1283 500)(font "Arial" )) (pt 1267 504) (pt 1296 504) ) (connector (text "X[2]" (rect 1267 504 1285 516)(font "Arial" )) (pt 1267 520) (pt 1296 520) ) (connector (text "X[3]" (rect 1275 520 1293 532)(font "Arial" )) (pt 1296 536) (pt 1264 536) ) (connector (text "Y[3]" (rect 1264 248 1285 260)(font "Arial" )) (pt 1264 264) (pt 1296 264) ) (connector (pt 1296 440) (pt 1296 456) ) (connector (pt 1296 456) (pt 1296 472) ) (connector (pt 1296 280) (pt 1152 280) ) (connector (pt 1152 296) (pt 1296 296) ) (connector (pt 1296 312) (pt 1152 312) ) (connector (pt 1152 328) (pt 1296 328) ) (connector (pt 1296 344) (pt 1152 344) ) (connector (pt 1152 360) (pt 1296 360) ) (connector (pt 1296 376) (pt 1152 376) ) (connector (pt 1152 392) (pt 1296 392) ) (connector (pt 1296 408) (pt 1152 408) ) (connector (pt 1296 424) (pt 1152 424) ) (connector (text "M[0]" (rect 1640 232 1661 244)(font "Arial" )) (pt 1632 248) (pt 1656 248) ) (connector (text "M[1]" (rect 1640 248 1661 260)(font "Arial" )) (pt 1632 264) (pt 1656 264) ) (connector (text "M[2]" (rect 1646 264 1667 276)(font "Arial" )) (pt 1632 280) (pt 1656 280) ) (connector (text "M[3]" (rect 1648 280 1669 292)(font "Arial" )) (pt 1632 296) (pt 1656 296) ) (connector (text "M[4]" (rect 1644 296 1665 308)(font "Arial" )) (pt 1632 312) (pt 1656 312) ) (connector (text "M[5]" (rect 1640 312 1661 324)(font "Arial" )) (pt 1632 328) (pt 1656 328) ) (connector (text "M[6]" (rect 1644 328 1665 340)(font "Arial" )) (pt 1632 344) (pt 1656 344) ) (connector (text "M[7]" (rect 1645 344 1666 356)(font "Arial" )) (pt 1632 360) (pt 1656 360) ) (connector (text "M[8]" (rect 1647 360 1668 372)(font "Arial" )) (pt 1632 376) (pt 1656 376) ) (connector (text "M[9]" (rect 1642 376 1663 388)(font "Arial" )) (pt 1632 392) (pt 1656 392) ) (connector (pt 1672 408) (pt 1632 408) ) (connector (text "Y[4]" (rect 1504 232 1525 244)(font "Arial" )) (pt 1504 248) (pt 1536 248) ) (connector (pt 1392 264) (pt 1536 264) ) (connector (pt 1536 280) (pt 1392 280) ) (connector (pt 1392 296) (pt 1536 296) ) (connector (pt 1536 312) (pt 1392 312) ) (connector (pt 1392 328) (pt 1536 328) ) (connector (pt 1536 344) (pt 1392 344) ) (connector (pt 1392 360) (pt 1536 360) ) (connector (pt 1536 376) (pt 1392 376) ) (connector (pt 1536 392) (pt 1392 392) ) (connector (text "X[0]" (rect 1505 472 1523 484)(font "Arial" )) (pt 1507 488) (pt 1536 488) ) (connector (text "X[1]" (rect 1507 488 1525 500)(font "Arial" )) (pt 1507 504) (pt 1536 504) ) (connector (text "X[2]" (rect 1515 504 1533 516)(font "Arial" )) (pt 1536 520) (pt 1504 520) ) (connector (text "X[3]" (rect 1512 520 1530 532)(font "Arial" )) (pt 1536 536) (pt 1504 536) ) (connector (text "X[4]" (rect 1513 536 1531 548)(font "Arial" )) (pt 1536 552) (pt 1504 552) ) (connector (pt 1536 424) (pt 1536 440) ) (connector (pt 1536 456) (pt 1536 472) ) (connector (pt 1536 408) (pt 1392 408) ) (connector (pt 816 472) (pt 776 472) ) (connector (pt 1056 584) (pt 1048 584) ) (connector (pt 1056 568) (pt 1056 584) ) (connector (pt 1056 584) (pt 1056 600) ) (connector (pt 1152 440) (pt 1160 440) ) (connector (pt 1160 440) (pt 1160 632) ) (connector (pt 912 456) (pt 920 456) ) (connector (pt 920 456) (pt 920 640) ) (connector (pt 1392 424) (pt 1400 424) ) (connector (pt 1400 424) (pt 1400 616) ) (connector (pt 1536 448) (pt 1520 448) ) (connector (pt 1536 440) (pt 1536 448) ) (connector (pt 1536 448) (pt 1536 456) ) (connector (pt 1536 568) (pt 1528 568) ) (junction (pt 448 448)) (junction (pt 520 448)) (junction (pt 376 448)) (junction (pt 280 448)) (junction (pt 808 592)) (junction (pt 808 584)) (junction (pt 808 600)) (junction (pt 792 408)) (junction (pt 792 424)) (junction (pt 792 440)) (junction (pt 1056 456)) (junction (pt 1056 584)) (junction (pt 1296 456)) (junction (pt 1296 568)) (junction (pt 1296 440)) (junction (pt 1536 440)) (junction (pt 1536 456)) (junction (pt 1536 448)) (text "X[0]" (rect 778 472 796 484)(font "Arial" )) (text "X[0]" (rect 778 472 796 484)(font "Arial" ))