Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:14.7 (WebPack) - P.20131013 Target Family: Spartan6
OS Platform: LIN64 Target Device: xc6slx9
Project ID (random number) 5b396c39235244c09f945ee08d948a14.8C4A34387ED46BFEECE9D369B6F8AAAE.19 Target Package: tqg144
Registration ID 211291888_0_0_214 Target Speed: -2
Date Generated 2017-02-21T22:17:09 Tool Flow ISE
 
User Environment
OS Name unknown OS Release unknown
CPU Name Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz CPU Speed 3099.957 MHz
OS Name unknown OS Release unknown
CPU Name Intel(R) Core(TM) i5-7200U CPU @ 2.50GHz CPU Speed 3100.122 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Counters=1
  • 27-bit down counter=1
Registers=1
  • Flip-Flops=1
MiscellaneousStatistics
  • AGG_BONDED_IO=2
  • AGG_IO=2
  • AGG_LOCED_IO=2
  • AGG_SLICE=15
  • NUM_BONDED_IOB=2
  • NUM_BSFULL=25
  • NUM_BSLUTONLY=32
  • NUM_BSUSED=57
  • NUM_BUFG=1
  • NUM_LOCED_IOB=2
  • NUM_LOGIC_O5ANDO6=22
  • NUM_LOGIC_O5ONLY=1
  • NUM_LOGIC_O6ONLY=34
  • NUM_LUT_RT_O6=1
  • NUM_SLICEL=6
  • NUM_SLICEX=9
  • NUM_SLICE_CARRY4=6
  • NUM_SLICE_CONTROLSET=1
  • NUM_SLICE_CYINIT=81
  • NUM_SLICE_FF=25
  • NUM_SLICE_UNUSEDCTRL=7
  • NUM_UNUSABLE_FF_BELS=7
NetStatistics
  • NumNets_Active=66
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BOUNCEACROSS=2
  • NumNodesOfType_Active_BOUNCEIN=7
  • NumNodesOfType_Active_BUFGOUT=1
  • NumNodesOfType_Active_BUFHINP2OUT=1
  • NumNodesOfType_Active_CLKPIN=8
  • NumNodesOfType_Active_CLKPINFEED=1
  • NumNodesOfType_Active_DOUBLE=41
  • NumNodesOfType_Active_GENERIC=2
  • NumNodesOfType_Active_GLOBAL=10
  • NumNodesOfType_Active_INPUT=6
  • NumNodesOfType_Active_IOBIN2OUT=1
  • NumNodesOfType_Active_IOBOUTPUT=1
  • NumNodesOfType_Active_LUTINPUT=197
  • NumNodesOfType_Active_OUTBOUND=60
  • NumNodesOfType_Active_OUTPUT=66
  • NumNodesOfType_Active_PADINPUT=1
  • NumNodesOfType_Active_PADOUTPUT=1
  • NumNodesOfType_Active_PINBOUNCE=17
  • NumNodesOfType_Active_PINFEED=207
  • NumNodesOfType_Active_QUAD=7
  • NumNodesOfType_Active_SINGLE=76
  • NumNodesOfType_Vcc_HVCCOUT=6
  • NumNodesOfType_Vcc_LUTINPUT=23
  • NumNodesOfType_Vcc_PINFEED=23
SiteStatistics
  • BUFG-BUFGMUX=1
  • IOB-IOBM=1
  • IOB-IOBS=1
  • SLICEL-SLICEM=6
  • SLICEX-SLICEL=1
  • SLICEX-SLICEM=1
SiteSummary
  • BUFG=1
  • BUFG_BUFG=1
  • CARRY4=6
  • HARD1=1
  • IOB=2
  • IOB_IMUX=1
  • IOB_INBUF=1
  • IOB_OUTBUF=1
  • LUT5=23
  • LUT6=57
  • PAD=2
  • REG_SR=25
  • SLICEL=6
  • SLICEX=9
 
Configuration Data
BUFGMUX
  • S=[S_INV:1] [S:0]
BUFGMUX_GCLKMUX
  • DISABLE_ATTR=[LOW:1]
  • S=[S_INV:1] [S:0]
IBUF_PAD
  • IOATTRBOX=[LVTTL:1]
IOB
  • O1=[O1_INV:0] [O1:1]
IOB_OUTBUF
  • DRIVEATTRBOX=[8:1]
  • SLEW=[SLOW:1]
  • SUSPEND=[3STATE:1]
IOB_PAD
  • DRIVEATTRBOX=[8:1]
  • IOATTRBOX=[LVTTL:1]
  • SLEW=[SLOW:1]
REG_SR
  • CK=[CK:25] [CK_INV:0]
  • LATCH_OR_FF=[FF:25]
  • SRINIT=[SRINIT0:18] [SRINIT1:7]
  • SYNC_ATTR=[ASYNC:25]
SLICEL
  • BX=[BX_INV:0] [BX:1]
  • BY=[BY:0] [BY_INV:1]
  • CE=[CE:1] [CE_INV:0]
  • CIN=[CIN_INV:0] [CIN:7]
  • CLK=[CLK:9] [CLK_INV:0]
  • SR=[SR:8] [SR_INV:0]
SLICEL_CYMUXF
  • 0=[0:8] [0_INV:0]
  • 1=[1_INV:0] [1:8]
SLICEL_CYMUXG
  • 0=[0:7] [0_INV:0]
SLICEL_FFX
  • CK=[CK:8] [CK_INV:0]
  • D=[D:8] [D_INV:0]
  • FFX_INIT_ATTR=[INIT0:3] [INIT1:5]
  • FFX_SR_ATTR=[SRLOW:3] [SRHIGH:5]
  • LATCH_OR_FF=[FF:8]
  • SR=[SR:8] [SR_INV:0]
  • SYNC_ATTR=[SYNC:8]
SLICEL_FFY
  • CE=[CE:1] [CE_INV:0]
  • CK=[CK:9] [CK_INV:0]
  • D=[D:8] [D_INV:1]
  • FFY_INIT_ATTR=[INIT0:5] [INIT1:4]
  • FFY_SR_ATTR=[SRLOW:5] [SRHIGH:4]
  • LATCH_OR_FF=[FF:9]
  • SR=[SR:8] [SR_INV:0]
  • SYNC_ATTR=[ASYNC:1] [SYNC:8]
SLICEL_XORF
  • 1=[1_INV:0] [1:8]
SLICEX
  • CLK=[CLK:8] [CLK_INV:0]
 
Pin Data
BUFG
  • I0=1
  • O=1
BUFGMUX
  • I0=1
  • O=1
  • S=1
BUFGMUX_GCLKMUX
  • I0=1
  • OUT=1
  • S=1
BUFGMUX_GCLK_BUFFER
  • IN=1
  • OUT=1
BUFG_BUFG
  • I0=1
  • O=1
CARRY4
  • CIN=5
  • CO3=5
  • CYINIT=1
  • DI0=6
  • DI1=6
  • DI2=6
  • DI3=5
  • O0=6
  • O1=6
  • O2=6
  • O3=6
  • S0=6
  • S1=6
  • S2=6
  • S3=6
HARD1
  • 1=1
IBUF
  • I=1
  • PAD=1
IBUF_INBUF
  • IN=1
  • OUT=1
IBUF_PAD
  • PAD=1
IOB
  • I=1
  • O=1
  • PAD=2
IOB_IMUX
  • I=1
  • OUT=1
IOB_INBUF
  • OUT=1
  • PAD=1
IOB_OUTBUF
  • IN=1
  • OUT=1
IOB_PAD
  • PAD=1
LUT5
  • O5=23
LUT6
  • A1=8
  • A2=33
  • A3=33
  • A4=33
  • A5=56
  • A6=57
  • O6=57
PAD
  • PAD=2
REG_SR
  • CK=25
  • D=25
  • Q=25
SLICEL
  • A5=6
  • A6=6
  • AMUX=6
  • B5=6
  • B6=6
  • BMUX=6
  • C5=6
  • C6=6
  • CIN=5
  • CMUX=6
  • COUT=5
  • D5=5
  • D6=6
  • DMUX=6
SLICEL_C1VDD
  • 1=7
SLICEL_C2VDD
  • 1=7
SLICEL_CYMUXF
  • 0=8
  • 1=8
  • OUT=8
  • S0=8
SLICEL_CYMUXG
  • 0=7
  • 1=7
  • OUT=7
  • S0=7
SLICEL_F
  • A1=12
  • A2=4
  • A3=4
  • A4=4
  • D=12
SLICEL_FFX
  • CK=8
  • D=8
  • Q=8
  • SR=8
SLICEL_FFY
  • CE=1
  • CK=9
  • D=9
  • Q=9
  • SR=8
SLICEL_G
  • A1=9
  • A2=1
  • A3=1
  • A4=1
  • D=9
SLICEL_GNDF
  • 0=1
SLICEL_GNDG
  • 0=7
SLICEL_XORF
  • 0=8
  • 1=8
  • O=8
SLICEL_XORG
  • 0=8
  • 1=8
  • O=8
SLICEX
  • A=1
  • A1=1
  • A2=9
  • A3=9
  • A4=9
  • A5=9
  • A6=9
  • AQ=8
  • B=3
  • B1=3
  • B2=8
  • B3=8
  • B4=8
  • B5=8
  • B6=8
  • BQ=5
  • C=2
  • C1=2
  • C2=8
  • C3=8
  • C4=8
  • C5=8
  • C6=8
  • CLK=8
  • CQ=6
  • D=2
  • D1=2
  • D2=8
  • D3=8
  • D4=8
  • D5=8
  • D6=8
  • DQ=6
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc3s250e-vq100-4 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc3s250e-vq100-4 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 4 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-3 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-3 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • ngdbuild -intstyle ise -dd _ngo -aul -nt timestamp -uc <fname>.ucf -p xc6slx9-tqg144-2 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • map -intstyle ise -p xc6slx9-tqg144-2 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr off -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt off <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 2 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
bitgen 18 18 0 0 0 0 0
map 23 19 0 0 0 0 0
ngc2edif 3 3 0 0 0 0 0
ngdbuild 29 29 0 0 0 0 0
par 19 18 1 0 0 0 0
trce 18 18 0 0 0 0 0
xst 23 23 0 0 0 0 0
 
Project Statistics
PROPEXT_xilxSynthMaxFanout_virtex2=100000 PROP_Enable_Message_Filtering=false
PROP_FitterReportFormat=HTML PROP_LastAppliedGoal=Balanced
PROP_LastAppliedStrategy=Xilinx Default (unlocked) PROP_ManualCompileOrderImp=false
PROP_ProjectDescription=This is a project that will make patterns with led lights. PROP_PropSpecInProjFile=Store all values
PROP_Simulator=ISim (VHDL/Verilog) PROP_SynthTopFile=changed
PROP_Top_Level_Module_Type=HDL PROP_UseSmartGuide=false
PROP_UserConstraintEditorPreference=Text Editor PROP_intProjectCreationTimestamp=2017-02-19T23:09:37
PROP_intWbtProjectID=8C4A34387ED46BFEECE9D369B6F8AAAE PROP_intWbtProjectIteration=19
PROP_intWorkingDirLocWRTProjDir=Same PROP_intWorkingDirUsed=No
PROP_xilxBitgStart_IntDone=true PROP_xilxNgdbld_AUL=true
PROP_AutoTop=true PROP_DevFamily=Spartan6
PROP_DevDevice=xc6slx9 PROP_DevFamilyPMName=spartan6
PROP_DevPackage=tqg144 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_DevSpeed=-2 PROP_PreferredLanguage=Verilog
FILE_UCF=1 FILE_VERILOG=1
 
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFGP=1 NGDBUILD_NUM_FD=25 NGDBUILD_NUM_GND=1 NGDBUILD_NUM_INV=23
NGDBUILD_NUM_LUT1=1 NGDBUILD_NUM_LUT5=25 NGDBUILD_NUM_LUT6=8 NGDBUILD_NUM_MUXCY=23
NGDBUILD_NUM_OBUF=1 NGDBUILD_NUM_VCC=1 NGDBUILD_NUM_XORCY=24
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=1 NGDBUILD_NUM_FD=25 NGDBUILD_NUM_GND=1 NGDBUILD_NUM_IBUFG=1
NGDBUILD_NUM_INV=23 NGDBUILD_NUM_LUT1=1 NGDBUILD_NUM_LUT5=25 NGDBUILD_NUM_LUT6=8
NGDBUILD_NUM_MUXCY=23 NGDBUILD_NUM_OBUF=1 NGDBUILD_NUM_VCC=1 NGDBUILD_NUM_XORCY=24
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc6slx9-2-tqg144
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=No -netlist_hierarchy=As_Optimized -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -write_timing_constraints=NO -cross_clock_analysis=NO
-bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100 -dsp_utilization_ratio=100
-reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto -safe_implementation=No
-fsm_style=LUT -ram_extract=Yes -ram_style=Auto -rom_extract=Yes
-shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO -resource_sharing=YES
-async_to_sync=NO -use_dsp48=Auto -iobuf=YES -max_fanout=100000
-bufg=16 -register_duplication=YES -register_balancing=No -optimize_primitives=NO
-use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto -iob=Auto
-equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5