From ee5d729de8ea22b4d7524bf839ba08fcb4b3843d Mon Sep 17 00:00:00 2001 From: zedarider Date: Tue, 15 Nov 2016 21:33:02 +0000 Subject: adding first project and initial files --- part_1/ex1/ex1.qsf | 70 ++++++++++++++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 70 insertions(+) create mode 100644 part_1/ex1/ex1.qsf (limited to 'part_1/ex1/ex1.qsf') diff --git a/part_1/ex1/ex1.qsf b/part_1/ex1/ex1.qsf new file mode 100644 index 0000000..a967e1c --- /dev/null +++ b/part_1/ex1/ex1.qsf @@ -0,0 +1,70 @@ +# -------------------------------------------------------------------------- # +# +# Copyright (C) 1991-2016 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions +# and other software and tools, and its AMPP partner logic +# functions, and any output files from any of the foregoing +# (including device programming or simulation files), and any +# associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License +# Subscription Agreement, the Altera Quartus Prime License Agreement, +# the Altera MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Altera and sold by Altera or its +# authorized distributors. Please refer to the applicable +# agreement for further details. +# +# -------------------------------------------------------------------------- # +# +# Quartus Prime +# Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition +# Date created = 09:23:51 November 15, 2016 +# +# -------------------------------------------------------------------------- # +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# ex1_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus Prime software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # + + +set_global_assignment -name FAMILY "Cyclone V" +set_global_assignment -name DEVICE 5CSEMA5F31C6 +set_global_assignment -name TOP_LEVEL_ENTITY ex1 +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:23:51 NOVEMBER 15, 2016" +set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name BDF_FILE BDF_Files/My7seg.bdf +set_global_assignment -name BDF_FILE BDF_Files/ex1_top.bdf +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name BDF_FILE BDF_Files/ex1.bdf +set_location_assignment PIN_AH28 -to HEX0[6] +set_location_assignment PIN_AG28 -to HEX0[5] +set_location_assignment PIN_AF28 -to HEX0[4] +set_location_assignment PIN_AG27 -to HEX0[3] +set_location_assignment PIN_AE28 -to HEX0[2] +set_location_assignment PIN_AE27 -to HEX0[1] +set_location_assignment PIN_AE26 -to HEX0[0] +set_location_assignment PIN_AF10 -to SW[3] +set_location_assignment PIN_AF9 -to SW[2] +set_location_assignment PIN_AC12 -to SW[1] +set_location_assignment PIN_AB12 -to SW[0] +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name CDF_FILE Chain2.cdf \ No newline at end of file -- cgit