# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2016 Altera Corporation. All rights reserved. # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, the Altera Quartus Prime License Agreement, # the Altera MegaCore Function License Agreement, or other # applicable license agreement, including, without limitation, # that your use is for the sole purpose of programming logic # devices manufactured by Altera and sold by Altera or its # authorized distributors. Please refer to the applicable # agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus Prime # Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition#============================================================ # CLOCK #============================================================ #============================================================ # Add-on Card Interface Pins #============================================================ #============================================================ # HEX0 #============================================================ #============================================================ # HEX1 #============================================================ #============================================================ # HEX2 #============================================================ #============================================================ # HEX3 #============================================================ #============================================================ # HEX4 #============================================================ #============================================================ # HEX5 #============================================================ #============================================================ # KEY #============================================================ #============================================================ # LEDR #============================================================ #============================================================ # SW #============================================================ #============================================================ # End of pin and io_standard assignments #============================================================ # Date created = 09:17:00 November 29, 2016 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # ex10_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus Prime software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY "Cyclone V" set_global_assignment -name DEVICE 5CSEMA5F31C6 set_global_assignment -name TOP_LEVEL_ENTITY ex10 set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.0.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "09:17:00 NOVEMBER 29, 2016" set_global_assignment -name LAST_QUARTUS_VERSION 16.0.0 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256 set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)" set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation set_global_assignment -name VERILOG_FILE verilog_files/tick_5000.v set_global_assignment -name VERILOG_FILE verilog_files/spi2dac.v set_global_assignment -name VERILOG_FILE ex10.v set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to "LEDR[3]#============================================================" # CLOCK #============================================================ set_location_assignment PIN_AF14 -to CLOCK_50 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50 #============================================================ # Add-on Card Interface Pins #============================================================ set_location_assignment PIN_AJ20 -to PWM_OUT set_location_assignment PIN_AK21 -to DAC_LD set_location_assignment PIN_AD20 -to DAC_CS set_location_assignment PIN_AF20 -to DAC_SCK set_location_assignment PIN_AF21 -to ADC_SCK set_location_assignment PIN_AG21 -to ADC_SDI set_location_assignment PIN_AG20 -to ADC_CS set_location_assignment PIN_AG18 -to DAC_SDI set_location_assignment PIN_AJ21 -to ADC_SDO set_location_assignment PIN_Y17 -to OLED_CS set_location_assignment PIN_Y18 -to OLED_RST set_location_assignment PIN_AK18 -to OLED_DC set_location_assignment PIN_AJ19 -to OLED_CLK set_location_assignment PIN_AJ16 -to OLED_DATA set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OLED_CS set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OLED_RST set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OLED_DC set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OLED_CLK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OLED_DATA set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PWM_OUT set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_LD set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_CS set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_SCK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SCK set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDI set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_CS set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DAC_SDI set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ADC_SDO #============================================================ # HEX0 #============================================================ set_location_assignment PIN_AE26 -to HEX0[0] set_location_assignment PIN_AE27 -to HEX0[1] set_location_assignment PIN_AE28 -to HEX0[2] set_location_assignment PIN_AG27 -to HEX0[3] set_location_assignment PIN_AF28 -to HEX0[4] set_location_assignment PIN_AG28 -to HEX0[5] set_location_assignment PIN_AH28 -to HEX0[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] #============================================================ # HEX1 #============================================================ set_location_assignment PIN_AJ29 -to HEX1[0] set_location_assignment PIN_AH29 -to HEX1[1] set_location_assignment PIN_AH30 -to HEX1[2] set_location_assignment PIN_AG30 -to HEX1[3] set_location_assignment PIN_AF29 -to HEX1[4] set_location_assignment PIN_AF30 -to HEX1[5] set_location_assignment PIN_AD27 -to HEX1[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] #============================================================ # HEX2 #============================================================ set_location_assignment PIN_AB23 -to HEX2[0] set_location_assignment PIN_AE29 -to HEX2[1] set_location_assignment PIN_AD29 -to HEX2[2] set_location_assignment PIN_AC28 -to HEX2[3] set_location_assignment PIN_AD30 -to HEX2[4] set_location_assignment PIN_AC29 -to HEX2[5] set_location_assignment PIN_AC30 -to HEX2[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] #============================================================ # HEX3 #============================================================ set_location_assignment PIN_AD26 -to HEX3[0] set_location_assignment PIN_AC27 -to HEX3[1] set_location_assignment PIN_AD25 -to HEX3[2] set_location_assignment PIN_AC25 -to HEX3[3] set_location_assignment PIN_AB28 -to HEX3[4] set_location_assignment PIN_AB25 -to HEX3[5] set_location_assignment PIN_AB22 -to HEX3[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] #============================================================ # HEX4 #============================================================ set_location_assignment PIN_AA24 -to HEX4[0] set_location_assignment PIN_Y23 -to HEX4[1] set_location_assignment PIN_Y24 -to HEX4[2] set_location_assignment PIN_W22 -to HEX4[3] set_location_assignment PIN_W24 -to HEX4[4] set_location_assignment PIN_V23 -to HEX4[5] set_location_assignment PIN_W25 -to HEX4[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] #============================================================ # HEX5 #============================================================ set_location_assignment PIN_V25 -to HEX5[0] set_location_assignment PIN_AA28 -to HEX5[1] set_location_assignment PIN_Y27 -to HEX5[2] set_location_assignment PIN_AB27 -to HEX5[3] set_location_assignment PIN_AB26 -to HEX5[4] set_location_assignment PIN_AA26 -to HEX5[5] set_location_assignment PIN_AA25 -to HEX5[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] #============================================================ # KEY #============================================================ set_location_assignment PIN_AA14 -to KEY[0] set_location_assignment PIN_AA15 -to KEY[1] set_location_assignment PIN_W15 -to KEY[2] set_location_assignment PIN_Y16 -to KEY[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3] #============================================================ # LEDR #============================================================ set_location_assignment PIN_V16 -to LEDR[0] set_location_assignment PIN_W16 -to LEDR[1] set_location_assignment PIN_V17 -to LEDR[2] set_location_assignment PIN_V18 -to LEDR[3] set_location_assignment PIN_W17 -to LEDR[4] set_location_assignment PIN_W19 -to LEDR[5] set_location_assignment PIN_Y19 -to LEDR[6] set_location_assignment PIN_W20 -to LEDR[7] set_location_assignment PIN_W21 -to LEDR[8] set_location_assignment PIN_Y21 -to LEDR[9] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[3] #============================================================ # SW #============================================================ set_location_assignment PIN_AB12 -to SW[0] set_location_assignment PIN_AC12 -to SW[1] set_location_assignment PIN_AF9 -to SW[2] set_location_assignment PIN_AF10 -to SW[3] set_location_assignment PIN_AD11 -to SW[4] set_location_assignment PIN_AD12 -to SW[5] set_location_assignment PIN_AE11 -to SW[6] set_location_assignment PIN_AC9 -to SW[7] set_location_assignment PIN_AD10 -to SW[8] set_location_assignment PIN_AE12 -to SW[9] #============================================================ # End of pin and io_standard assignments #============================================================ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LEDR[9] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top