Flow report for ex10 Tue Nov 29 10:22:01 2016 Quartus Prime Version 16.0.0 Build 211 04/27/2016 SJ Standard Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Flow Summary 3. Flow Settings 4. Flow Non-Default Global Settings 5. Flow Elapsed Time 6. Flow OS Summary 7. Flow Log 8. Flow Messages 9. Flow Suppressed Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2016 Altera Corporation. All rights reserved. Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, the Altera Quartus Prime License Agreement, the Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +-----------------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------------+-------------------------------------------------+ ; Flow Status ; Successful - Tue Nov 29 10:22:01 2016 ; ; Quartus Prime Version ; 16.0.0 Build 211 04/27/2016 SJ Standard Edition ; ; Revision Name ; ex10 ; ; Top-level Entity Name ; ex10 ; ; Family ; Cyclone V ; ; Device ; 5CSEMA5F31C6 ; ; Timing Models ; Final ; ; Logic utilization (in ALMs) ; 34 / 32,070 ( < 1 % ) ; ; Total registers ; 55 ; ; Total pins ; 15 / 457 ( 3 % ) ; ; Total virtual pins ; 0 ; ; Total block memory bits ; 0 / 4,065,280 ( 0 % ) ; ; Total DSP Blocks ; 0 / 87 ( 0 % ) ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI PMA TX Serializers ; 0 ; ; Total PLLs ; 0 / 6 ( 0 % ) ; ; Total DLLs ; 0 / 4 ( 0 % ) ; +---------------------------------+-------------------------------------------------+ +-----------------------------------------+ ; Flow Settings ; +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ ; Start date & time ; 11/29/2016 10:21:03 ; ; Main task ; Compilation ; ; Revision Name ; ex10 ; +-------------------+---------------------+ +----------------------------------------------------------------------------------------------------------------------------+ ; Flow Non-Default Global Settings ; +-------------------------------------+---------------------------------------+---------------+-------------+----------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+---------------------------------------+---------------+-------------+----------------+ ; COMPILER_SIGNATURE_ID ; 260248564170200.148041486304572 ; -- ; -- ; -- ; ; EDA_OUTPUT_DATA_FORMAT ; Verilog Hdl ; -- ; -- ; eda_simulation ; ; EDA_SIMULATION_TOOL ; ModelSim-Altera (Verilog) ; ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; ; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; ; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; ; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; ; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ; ; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +-------------------------------------+---------------------------------------+---------------+-------------+----------------+ +-------------------------------------------------------------------------------------------------------------------------------+ ; Flow Elapsed Time ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Analysis & Synthesis ; 00:00:10 ; 1.0 ; 899 MB ; 00:00:22 ; ; Fitter ; 00:00:33 ; 1.0 ; 2599 MB ; 00:00:57 ; ; Assembler ; 00:00:06 ; 1.0 ; 889 MB ; 00:00:06 ; ; TimeQuest Timing Analyzer ; 00:00:05 ; 1.1 ; 1204 MB ; 00:00:05 ; ; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 804 MB ; 00:00:01 ; ; Total ; 00:00:55 ; -- ; -- ; 00:01:31 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ +----------------------------------------------------------------------------------------+ ; Flow OS Summary ; +---------------------------+------------------+-----------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +---------------------------+------------------+-----------+------------+----------------+ ; Analysis & Synthesis ; eews104a-016 ; Windows 7 ; 6.1 ; x86_64 ; ; Fitter ; eews104a-016 ; Windows 7 ; 6.1 ; x86_64 ; ; Assembler ; eews104a-016 ; Windows 7 ; 6.1 ; x86_64 ; ; TimeQuest Timing Analyzer ; eews104a-016 ; Windows 7 ; 6.1 ; x86_64 ; ; EDA Netlist Writer ; eews104a-016 ; Windows 7 ; 6.1 ; x86_64 ; +---------------------------+------------------+-----------+------------+----------------+ ------------ ; Flow Log ; ------------ quartus_map --read_settings_files=on --write_settings_files=off ex10 -c ex10 quartus_fit --read_settings_files=off --write_settings_files=off ex10 -c ex10 quartus_asm --read_settings_files=off --write_settings_files=off ex10 -c ex10 quartus_sta ex10 -c ex10 quartus_eda --read_settings_files=off --write_settings_files=off ex10 -c ex10