summaryrefslogtreecommitdiffstats
path: root/riscv/picorv32/scripts/smtbmc/notrap_validop.v
diff options
context:
space:
mode:
authorYann Herklotz <git@yannherklotz.com>2022-11-24 22:27:15 +0000
committerYann Herklotz <git@yannherklotz.com>2022-11-24 22:27:15 +0000
commitcfe60025ab06c73c44ce6b962a258668b3a90431 (patch)
tree292d7b2b01a6e0f2ee31b9d133312723980235c1 /riscv/picorv32/scripts/smtbmc/notrap_validop.v
parentc0056cea555efe0d6775e3b28ffa5a4a91293097 (diff)
downloadbutterstick-cfe60025ab06c73c44ce6b962a258668b3a90431.tar.gz
butterstick-cfe60025ab06c73c44ce6b962a258668b3a90431.zip
Move all files
Diffstat (limited to 'riscv/picorv32/scripts/smtbmc/notrap_validop.v')
-rw-r--r--riscv/picorv32/scripts/smtbmc/notrap_validop.v67
1 files changed, 67 insertions, 0 deletions
diff --git a/riscv/picorv32/scripts/smtbmc/notrap_validop.v b/riscv/picorv32/scripts/smtbmc/notrap_validop.v
new file mode 100644
index 0000000..8e50304
--- /dev/null
+++ b/riscv/picorv32/scripts/smtbmc/notrap_validop.v
@@ -0,0 +1,67 @@
+module testbench(input clk, mem_ready);
+ `include "opcode.v"
+
+ reg resetn = 0;
+ always @(posedge clk) resetn <= 1;
+
+ (* keep *) wire trap, mem_valid, mem_instr;
+ (* keep *) wire [3:0] mem_wstrb;
+ (* keep *) wire [31:0] mem_addr, mem_wdata, mem_rdata;
+ (* keep *) wire [35:0] trace_data;
+
+ reg [31:0] mem [0:2**30-1];
+
+ assign mem_rdata = mem[mem_addr >> 2];
+
+ always @(posedge clk) begin
+ if (resetn && mem_valid && mem_ready) begin
+ if (mem_wstrb[3]) mem[mem_addr >> 2][31:24] <= mem_wdata[31:24];
+ if (mem_wstrb[2]) mem[mem_addr >> 2][23:16] <= mem_wdata[23:16];
+ if (mem_wstrb[1]) mem[mem_addr >> 2][15: 8] <= mem_wdata[15: 8];
+ if (mem_wstrb[0]) mem[mem_addr >> 2][ 7: 0] <= mem_wdata[ 7: 0];
+ end
+ end
+
+ reg [1:0] mem_ready_stall = 0;
+
+ always @(posedge clk) begin
+ mem_ready_stall <= {mem_ready_stall, mem_valid && !mem_ready};
+ restrict(&mem_ready_stall == 0);
+
+ if (mem_instr && mem_ready && mem_valid) begin
+ assume(opcode_valid(mem_rdata));
+ assume(!opcode_branch(mem_rdata));
+ assume(!opcode_load(mem_rdata));
+ assume(!opcode_store(mem_rdata));
+ end
+
+ if (!mem_valid)
+ assume(!mem_ready);
+
+ if (resetn)
+ assert(!trap);
+ end
+
+ picorv32 #(
+ // change this settings as you like
+ .ENABLE_REGS_DUALPORT(1),
+ .TWO_STAGE_SHIFT(1),
+ .BARREL_SHIFTER(0),
+ .TWO_CYCLE_COMPARE(0),
+ .TWO_CYCLE_ALU(0),
+ .COMPRESSED_ISA(0),
+ .ENABLE_MUL(0),
+ .ENABLE_DIV(0)
+ ) cpu (
+ .clk (clk ),
+ .resetn (resetn ),
+ .trap (trap ),
+ .mem_valid (mem_valid ),
+ .mem_instr (mem_instr ),
+ .mem_ready (mem_ready ),
+ .mem_addr (mem_addr ),
+ .mem_wdata (mem_wdata ),
+ .mem_wstrb (mem_wstrb ),
+ .mem_rdata (mem_rdata )
+ );
+endmodule