summaryrefslogtreecommitdiffstats
path: root/example_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'example_tb.v')
-rw-r--r--example_tb.v7
1 files changed, 3 insertions, 4 deletions
diff --git a/example_tb.v b/example_tb.v
index f04f8f8..e4b55a1 100644
--- a/example_tb.v
+++ b/example_tb.v
@@ -3,7 +3,7 @@
module testbench;
reg clk = 1;
always #5 clk = ~clk;
- wire LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7;
+ wire LED0, LED1, LED2, LED3, LED4, LED5, LED6;
top uut (
.clk(clk),
@@ -13,8 +13,7 @@ module testbench;
.LED3(LED3),
.LED4(LED4),
.LED5(LED5),
- .LED6(LED6),
- .LED7(LED7)
+ .LED6(LED6)
);
initial begin
@@ -23,7 +22,7 @@ module testbench;
$dumpvars(0, testbench);
end
- $monitor(LED7, LED6, LED5, LED4, LED3, LED2, LED1, LED0);
+ $monitor(LED6, LED5, LED4, LED3, LED2, LED1, LED0);
repeat (10000) @(posedge clk);
$finish;
end