summaryrefslogtreecommitdiffstats
path: root/picorv32/scripts/quartus/synth_area.sdc
diff options
context:
space:
mode:
Diffstat (limited to 'picorv32/scripts/quartus/synth_area.sdc')
-rw-r--r--picorv32/scripts/quartus/synth_area.sdc1
1 files changed, 0 insertions, 1 deletions
diff --git a/picorv32/scripts/quartus/synth_area.sdc b/picorv32/scripts/quartus/synth_area.sdc
deleted file mode 100644
index 3c3d5a1..0000000
--- a/picorv32/scripts/quartus/synth_area.sdc
+++ /dev/null
@@ -1 +0,0 @@
-create_clock -period 20.00 [get_ports clk]