summaryrefslogtreecommitdiffstats
path: root/picorv32/scripts/quartus/synth_area_large.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'picorv32/scripts/quartus/synth_area_large.qsf')
-rw-r--r--picorv32/scripts/quartus/synth_area_large.qsf6
1 files changed, 0 insertions, 6 deletions
diff --git a/picorv32/scripts/quartus/synth_area_large.qsf b/picorv32/scripts/quartus/synth_area_large.qsf
deleted file mode 100644
index c09700b..0000000
--- a/picorv32/scripts/quartus/synth_area_large.qsf
+++ /dev/null
@@ -1,6 +0,0 @@
-set_global_assignment -name DEVICE ep4ce40f29c7
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name TOP_LEVEL_ENTITY top_large
-set_global_assignment -name VERILOG_FILE ../synth_area_top.v
-set_global_assignment -name VERILOG_FILE ../../../picorv32.v
-set_global_assignment -name SDC_FILE ../synth_area.sdc