summaryrefslogtreecommitdiffstats
path: root/picorv32/scripts/quartus/synth_speed.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'picorv32/scripts/quartus/synth_speed.qsf')
-rw-r--r--picorv32/scripts/quartus/synth_speed.qsf5
1 files changed, 0 insertions, 5 deletions
diff --git a/picorv32/scripts/quartus/synth_speed.qsf b/picorv32/scripts/quartus/synth_speed.qsf
deleted file mode 100644
index 64490d4..0000000
--- a/picorv32/scripts/quartus/synth_speed.qsf
+++ /dev/null
@@ -1,5 +0,0 @@
-set_global_assignment -name DEVICE ep4ce40f29c7
-set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
-set_global_assignment -name TOP_LEVEL_ENTITY picorv32_axi
-set_global_assignment -name VERILOG_FILE ../../../picorv32.v
-set_global_assignment -name SDC_FILE ../synth_speed.sdc