summaryrefslogtreecommitdiffstats
path: root/picorv32/scripts/quartus/synth_speed.sdc
diff options
context:
space:
mode:
Diffstat (limited to 'picorv32/scripts/quartus/synth_speed.sdc')
-rw-r--r--picorv32/scripts/quartus/synth_speed.sdc1
1 files changed, 0 insertions, 1 deletions
diff --git a/picorv32/scripts/quartus/synth_speed.sdc b/picorv32/scripts/quartus/synth_speed.sdc
deleted file mode 100644
index fef5704..0000000
--- a/picorv32/scripts/quartus/synth_speed.sdc
+++ /dev/null
@@ -1 +0,0 @@
-create_clock -period 2.5 [get_ports clk]