summaryrefslogtreecommitdiffstats
path: root/picorv32/scripts/quartus/synth_system.sdc
diff options
context:
space:
mode:
Diffstat (limited to 'picorv32/scripts/quartus/synth_system.sdc')
-rw-r--r--picorv32/scripts/quartus/synth_system.sdc1
1 files changed, 0 insertions, 1 deletions
diff --git a/picorv32/scripts/quartus/synth_system.sdc b/picorv32/scripts/quartus/synth_system.sdc
deleted file mode 100644
index 90ee3a2..0000000
--- a/picorv32/scripts/quartus/synth_system.sdc
+++ /dev/null
@@ -1 +0,0 @@
-create_clock -period 10.00 [get_ports clk]