summaryrefslogtreecommitdiffstats
path: root/picorv32/scripts/quartus/synth_system.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'picorv32/scripts/quartus/synth_system.tcl')
-rw-r--r--picorv32/scripts/quartus/synth_system.tcl17
1 files changed, 0 insertions, 17 deletions
diff --git a/picorv32/scripts/quartus/synth_system.tcl b/picorv32/scripts/quartus/synth_system.tcl
deleted file mode 100644
index 26ea01c..0000000
--- a/picorv32/scripts/quartus/synth_system.tcl
+++ /dev/null
@@ -1,17 +0,0 @@
-
-read_verilog system.v
-read_verilog ../../picorv32.v
-read_xdc synth_system.xdc
-
-synth_design -part xc7a35t-cpg236-1 -top system
-opt_design
-place_design
-route_design
-
-report_utilization
-report_timing
-
-write_verilog -force synth_system.v
-write_bitstream -force synth_system.bit
-# write_mem_info -force synth_system.mmi
-