summaryrefslogtreecommitdiffstats
path: root/picosoc/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'picosoc/Makefile')
-rw-r--r--picosoc/Makefile123
1 files changed, 0 insertions, 123 deletions
diff --git a/picosoc/Makefile b/picosoc/Makefile
deleted file mode 100644
index 291a12d..0000000
--- a/picosoc/Makefile
+++ /dev/null
@@ -1,123 +0,0 @@
-
-CROSS=riscv32-unknown-elf-
-CFLAGS=
-
-# ---- iCE40 HX8K Breakout Board ----
-
-hx8ksim: hx8kdemo_tb.vvp hx8kdemo_fw.hex
- vvp -N $< +firmware=hx8kdemo_fw.hex
-
-hx8ksynsim: hx8kdemo_syn_tb.vvp hx8kdemo_fw.hex
- vvp -N $< +firmware=hx8kdemo_fw.hex
-
-hx8kdemo.json: hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
- yosys -ql hx8kdemo.log -p 'synth_ice40 -top hx8kdemo -json hx8kdemo.json' $^
-
-hx8kdemo_tb.vvp: hx8kdemo_tb.v hx8kdemo.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
-
-hx8kdemo_syn_tb.vvp: hx8kdemo_tb.v hx8kdemo_syn.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
-
-hx8kdemo_syn.v: hx8kdemo.json
- yosys -p 'read_json hx8kdemo.json; write_verilog hx8kdemo_syn.v'
-
-hx8kdemo.asc: hx8kdemo.pcf hx8kdemo.json
- nextpnr-ice40 --hx8k --package ct256 --asc hx8kdemo.asc --json hx8kdemo.json --pcf hx8kdemo.pcf
-
-hx8kdemo.bin: hx8kdemo.asc
- icetime -d hx8k -c 12 -mtr hx8kdemo.rpt hx8kdemo.asc
- icepack hx8kdemo.asc hx8kdemo.bin
-
-hx8kprog: hx8kdemo.bin hx8kdemo_fw.bin
- iceprog hx8kdemo.bin
- iceprog -o 1M hx8kdemo_fw.bin
-
-hx8kprog_fw: hx8kdemo_fw.bin
- iceprog -o 1M hx8kdemo_fw.bin
-
-hx8kdemo_sections.lds: sections.lds
- $(CROSS)cpp -P -DHX8KDEMO -o $@ $^
-
-hx8kdemo_fw.elf: hx8kdemo_sections.lds start.s firmware.c
- $(CROSS)gcc $(CFLAGS) -DHX8KDEMO -mabi=ilp32 -march=rv32imc -Wl,--build-id=none,-Bstatic,-T,hx8kdemo_sections.lds,--strip-debug -ffreestanding -nostdlib -o hx8kdemo_fw.elf start.s firmware.c
-
-hx8kdemo_fw.hex: hx8kdemo_fw.elf
- $(CROSS)objcopy -O verilog hx8kdemo_fw.elf hx8kdemo_fw.hex
-
-hx8kdemo_fw.bin: hx8kdemo_fw.elf
- $(CROSS)objcopy -O binary hx8kdemo_fw.elf hx8kdemo_fw.bin
-
-# ---- iCE40 IceBreaker Board ----
-
-icebsim: icebreaker_tb.vvp icebreaker_fw.hex
- vvp -N $< +firmware=icebreaker_fw.hex
-
-icebsynsim: icebreaker_syn_tb.vvp icebreaker_fw.hex
- vvp -N $< +firmware=icebreaker_fw.hex
-
-icebreaker.json: icebreaker.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v ../picorv32.v
- yosys -ql icebreaker.log -p 'synth_ice40 -dsp -top icebreaker -json icebreaker.json' $^
-
-icebreaker_tb.vvp: icebreaker_tb.v icebreaker.v ice40up5k_spram.v spimemio.v simpleuart.v picosoc.v ../picorv32.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
-
-icebreaker_syn_tb.vvp: icebreaker_tb.v icebreaker_syn.v spiflash.v
- iverilog -s testbench -o $@ $^ `yosys-config --datdir/ice40/cells_sim.v` -DNO_ICE40_DEFAULT_ASSIGNMENTS
-
-icebreaker_syn.v: icebreaker.json
- yosys -p 'read_json icebreaker.json; write_verilog icebreaker_syn.v'
-
-icebreaker.asc: icebreaker.pcf icebreaker.json
- nextpnr-ice40 --freq 13 --up5k --package sg48 --asc icebreaker.asc --pcf icebreaker.pcf --json icebreaker.json
-
-icebreaker.bin: icebreaker.asc
- icetime -d up5k -c 12 -mtr icebreaker.rpt icebreaker.asc
- icepack icebreaker.asc icebreaker.bin
-
-icebprog: icebreaker.bin icebreaker_fw.bin
- iceprog icebreaker.bin
- iceprog -o 1M icebreaker_fw.bin
-
-icebprog_fw: icebreaker_fw.bin
- iceprog -o 1M icebreaker_fw.bin
-
-icebreaker_sections.lds: sections.lds
- $(CROSS)cpp -P -DICEBREAKER -o $@ $^
-
-icebreaker_fw.elf: icebreaker_sections.lds start.s firmware.c
- $(CROSS)gcc $(CFLAGS) -DICEBREAKER -mabi=ilp32 -march=rv32ic -Wl,-Bstatic,-T,icebreaker_sections.lds,--strip-debug -ffreestanding -nostdlib -o icebreaker_fw.elf start.s firmware.c
-
-icebreaker_fw.hex: icebreaker_fw.elf
- $(CROSS)objcopy -O verilog icebreaker_fw.elf icebreaker_fw.hex
-
-icebreaker_fw.bin: icebreaker_fw.elf
- $(CROSS)objcopy -O binary icebreaker_fw.elf icebreaker_fw.bin
-
-# ---- Testbench for SPI Flash Model ----
-
-spiflash_tb: spiflash_tb.vvp icebreaker_fw.hex
- vvp -N $< +firmware=icebreaker_fw.hex
-
-spiflash_tb.vvp: spiflash.v spiflash_tb.v
- iverilog -s testbench -o $@ $^
-
-# ---- ASIC Synthesis Tests ----
-
-cmos.log: spimemio.v simpleuart.v picosoc.v ../picorv32.v
- yosys -l cmos.log -p 'synth -top picosoc; abc -g cmos2; opt -fast; stat' $^
-
-# ---- Clean ----
-
-clean:
- rm -f testbench.vvp testbench.vcd spiflash_tb.vvp spiflash_tb.vcd
- rm -f hx8kdemo_fw.elf hx8kdemo_fw.hex hx8kdemo_fw.bin cmos.log
- rm -f icebreaker_fw.elf icebreaker_fw.hex icebreaker_fw.bin
- rm -f hx8kdemo.blif hx8kdemo.log hx8kdemo.asc hx8kdemo.rpt hx8kdemo.bin
- rm -f hx8kdemo_syn.v hx8kdemo_syn_tb.vvp hx8kdemo_tb.vvp
- rm -f icebreaker.json icebreaker.log icebreaker.asc icebreaker.rpt icebreaker.bin
- rm -f icebreaker_syn.v icebreaker_syn_tb.vvp icebreaker_tb.vvp
-
-.PHONY: spiflash_tb clean
-.PHONY: hx8kprog hx8kprog_fw hx8ksim hx8ksynsim
-.PHONY: icebprog icebprog_fw icebsim icebsynsim