summaryrefslogtreecommitdiffstats
path: root/scripts/icestorm/example_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/icestorm/example_tb.v')
-rw-r--r--scripts/icestorm/example_tb.v30
1 files changed, 30 insertions, 0 deletions
diff --git a/scripts/icestorm/example_tb.v b/scripts/icestorm/example_tb.v
new file mode 100644
index 0000000..f04f8f8
--- /dev/null
+++ b/scripts/icestorm/example_tb.v
@@ -0,0 +1,30 @@
+`timescale 1 ns / 1 ps
+
+module testbench;
+ reg clk = 1;
+ always #5 clk = ~clk;
+ wire LED0, LED1, LED2, LED3, LED4, LED5, LED6, LED7;
+
+ top uut (
+ .clk(clk),
+ .LED0(LED0),
+ .LED1(LED1),
+ .LED2(LED2),
+ .LED3(LED3),
+ .LED4(LED4),
+ .LED5(LED5),
+ .LED6(LED6),
+ .LED7(LED7)
+ );
+
+ initial begin
+ if ($test$plusargs("vcd")) begin
+ $dumpfile("example.vcd");
+ $dumpvars(0, testbench);
+ end
+
+ $monitor(LED7, LED6, LED5, LED4, LED3, LED2, LED1, LED0);
+ repeat (10000) @(posedge clk);
+ $finish;
+ end
+endmodule