summaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_area_regular.qsf
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/quartus/synth_area_regular.qsf')
-rw-r--r--scripts/quartus/synth_area_regular.qsf6
1 files changed, 6 insertions, 0 deletions
diff --git a/scripts/quartus/synth_area_regular.qsf b/scripts/quartus/synth_area_regular.qsf
new file mode 100644
index 0000000..8507413
--- /dev/null
+++ b/scripts/quartus/synth_area_regular.qsf
@@ -0,0 +1,6 @@
+set_global_assignment -name DEVICE ep4ce40f29c7
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name TOP_LEVEL_ENTITY top_regular
+set_global_assignment -name VERILOG_FILE ../synth_area_top.v
+set_global_assignment -name VERILOG_FILE ../../../picorv32.v
+set_global_assignment -name SDC_FILE ../synth_area.sdc