summaryrefslogtreecommitdiffstats
path: root/scripts/quartus/synth_system.tcl
diff options
context:
space:
mode:
Diffstat (limited to 'scripts/quartus/synth_system.tcl')
-rw-r--r--scripts/quartus/synth_system.tcl17
1 files changed, 17 insertions, 0 deletions
diff --git a/scripts/quartus/synth_system.tcl b/scripts/quartus/synth_system.tcl
new file mode 100644
index 0000000..26ea01c
--- /dev/null
+++ b/scripts/quartus/synth_system.tcl
@@ -0,0 +1,17 @@
+
+read_verilog system.v
+read_verilog ../../picorv32.v
+read_xdc synth_system.xdc
+
+synth_design -part xc7a35t-cpg236-1 -top system
+opt_design
+place_design
+route_design
+
+report_utilization
+report_timing
+
+write_verilog -force synth_system.v
+write_bitstream -force synth_system.bit
+# write_mem_info -force synth_system.mmi
+